l(r:p10udt3085lv-p10ulv10191);(-122.8506694203966,38.59051715298714);(-122.85050840356546,38.590643115202965) l(r:p10udm10027-p10ulv10174);(-122.85085246944834,38.59084181780123);(-122.85068013761597,38.590886657979) l(r:p10udm10027-p10ulv10183);(-122.85085246944834,38.59084181780123);(-122.85054234672887,38.590886482101226) l(r:p10udm10027-p10udt3085lv);(-122.85076094471687,38.590679485431814);(-122.85085246944834,38.59084181780123) l(r:p10udm10028-p10ulv10177);(-122.85057789648754,38.59035482046719);(-122.8500608845934,38.590498351000925) l(r:p10udm10029-p10ulv10178);(-122.85047489052639,38.59019247320295);(-122.84995795461725,38.590299955448174) l(r:p10udm10028-p10udm10029);(-122.85047489052639,38.59019247320295) l(r:p10udm10028-p10udt3085lv);(-122.85057789648754,38.59035482046719) l(r:p10udt3087lv-p10ulv10188);(-122.85038336746564,38.590030140523574);(-122.85104988880202,38.58976964311818) l(r:p10udt3087lv-p10ulv10192);(-122.85038336746564,38.590030140523574);(-122.85070504367604,38.58994944343582) l(r:p10udt3087lv-p10ulv10196);(-122.85038336746564,38.590030140523574);(-122.85144069882102,38.58957187616009) l(r:p10udm10034-p10ulv10176);(-122.85047489052639,38.59019247320295);(-122.85073921108113,38.590084666781266) l(r:p10udm10037-p10ulv10190);(-122.85057789648754,38.59035482046719);(-122.8511065190813,38.590148218526416) l(r:p10udm10034-p10udm10037);(-122.85058817509076,38.59035080330762) l(r:p10udm10034-p10udt3087lv);(-122.8504853909246,38.59018882650404) l(r:p10udm10035-p10ulv10185);(-122.85029184481608,38.58986780776893);(-122.8511422875856,38.58950841310667) l(r:p10udm10035-p10ulv10195);(-122.85029184481608,38.58986780776893);(-122.85006210287533,38.58991257359656) l(r:p10udm10035-p10udt3087lv);(-122.85029184481608,38.58986780776893) l(r:p10udt3090lv-p10ulv10181);(-122.84922092721621,38.5913263743374);(-122.84923408722617,38.59052432481331) l(r:p10udm10046-p10ulv13908);(-122.84910610078421,38.59132622635081);(-122.84908260725074,38.59157853155661) l(r:p10udm10047-p10ulv13912);(-122.84900275699613,38.59132609306656);(-122.84904861208781,38.59136220014685) l(r:p10udm10045-p10ulv10182);(-122.84888793056571,38.59132594486593);(-122.84889982876068,38.591127696614876) l(r:p10udm10045-p10udm10047);(-122.84888793056571,38.59132594486593) l(r:p10udm10046-p10udm10047);(-122.84900275699613,38.59132609306656) l(r:p10udm10046-p10udt3090lv);(-122.84910610078421,38.59132622635081) l(r:p10udm10048-p10ulv21063);(-122.85001322962,38.5913273923744);(-122.85004788374906,38.591228304972766) l(r:p10udm10048-p10udt3090lv);(-122.84933575364906,38.59132652221133);(-122.84945058008275,38.59132666997261);(-122.84956540651729,38.59132681762122);(-122.84966875030908,38.591326950408664);(-122.84978357674522,38.591327097843234);(-122.8498984031822,38.59132724516515);(-122.85001322962,38.5913273923744) l(r:p10udt3092lv-p10ulv10187);(-122.8499142760219,38.58921846127419);(-122.8495925081936,38.58934421600964) l(r:p10udt3092lv-p10ulv10194);(-122.8499142760219,38.58921846127419);(-122.84931676281236,38.58942496919201) l(r:p10udm12275-p10ulv12784);(-122.8617541993546,38.606328758903814);(-122.86159337417196,38.60634659272366) l(r:p10udm12272-p10ulv12266);(-122.8622249309132,38.606410421695394);(-122.86221335969105,38.6064554678723) l(r:p10udm12272-p10udm12275);(-122.86178849889306,38.60640990705995);(-122.86190334942361,38.606410042648136);(-122.86200671490174,38.60641016458112);(-122.86212156543375,38.606410299955144);(-122.8622249309132,38.606410421695394) l(r:p10udm12275-p10udt3719lv);(-122.86173138492026,38.60624762430723);(-122.8617541993546,38.606328758903814) l(r:p10udm12276-p10ulv12811);(-122.86166280350493,38.60607631600629);(-122.8615364685078,38.6060761665945) l(r:p10udm12273-p10ulv12677);(-122.86164000655783,38.60598616944843);(-122.86119193588175,38.60606674647457) l(r:p10udm12273-p10ulv12782);(-122.86164000655783,38.60598616944843);(-122.86197314019181,38.605950515000835) l(r:p10udm12273-p10udm12276);(-122.86164000655783,38.60598616944843) l(r:p10udm12276-p10udt3719lv);(-122.86166280350493,38.60607631600629) l(r:p10udt3720lv-p10ulv12267);(-122.86241747508699,38.60782552579801);(-122.86246312374486,38.60797878274153) l(r:p10udt3720lv-p10ulv15256);(-122.86241747508699,38.60782552579801);(-122.8624164941559,38.60833920622698) l(r:p10udm12278-p10ulv12285);(-122.86231412479853,38.60781639228639);(-122.86234882167817,38.6076902656915) l(r:p10udm12279-p10ulv12381);(-122.86201597358715,38.60757271823958);(-122.86234916602866,38.60751002693011) l(r:p10udm12283-p10ulv12536);(-122.86180842689119,38.60799603535876);(-122.86207246816149,38.608059430569924) l(r:p10udm12279-p10udm12283);(-122.86195851286213,38.60759067438903);(-122.86191248553627,38.60763567987697);(-122.86187796068502,38.6076716869652);(-122.86185483466213,38.60775276729022);(-122.86183170858688,38.60783384760948);(-122.86182006775226,38.607914941485284);(-122.86180842689119,38.60799603535876) l(r:p10udm12278-p10udm12279);(-122.86223374508852,38.607807285728114);(-122.86216486791413,38.60778918070544);(-122.86208455725645,38.60774402629382);(-122.86202726899016,38.60767186309854);(-122.86201588729122,38.607617777929335);(-122.86201597358715,38.60757271823958) l(r:p10udm12278-p10udt3720lv);(-122.86231412479853,38.60781639228639) l(r:p10udm12280-p10ulv12414);(-122.86252084259807,38.6078256472806);(-122.86254333174088,38.60807800851715) l(r:p10udm12280-p10ulv12494);(-122.86252084259807,38.6078256472806);(-122.86250963247974,38.60768144278075) l(r:p10udm12281-p10ulv12480);(-122.86273906290133,38.60782590344403);(-122.86269293286333,38.607924980864226) l(r:p10udm12280-p10udm12281);(-122.86263569538892,38.60782578215417);(-122.86273906290133,38.60782590344403) l(r:p10udm12280-p10udt3720lv);(-122.86252084259807,38.6078256472806) l(r:p10udt3721lv-p10ulv12268);(-122.87112252881798,38.60827702243442);(-122.8710537618499,38.6081958390582) l(r:p10udm12288-p10ulv12289);(-122.86555295653191,38.6078291701495);(-122.86553018780667,38.60772100048857) l(r:p10udm12288-p10ulv12410);(-122.86555295653191,38.6078291701495);(-122.86551831565494,38.607928261885306) l(r:p10udm12286-p10ulv12269);(-122.86566780934281,38.60782930204722);(-122.8657139520284,38.607721211506046) l(r:p10udm12287-p10ulv12277);(-122.86578266215447,38.6078294338322);(-122.865770874659,38.60799163555844) l(r:p10udm12287-p10ulv12348);(-122.86578266215447,38.6078294338322);(-122.86579402992831,38.60789253057719) l(r:p10udm12296-p10ulv12515);(-122.8658860296856,38.60782955234231);(-122.8658517584165,38.60773038151897) l(r:p10udm12287-p10udm12296);(-122.8658860296856,38.60782955234231) l(r:p10udm12286-p10udm12287);(-122.86578266215447,38.6078294338322) l(r:p10udm12286-p10udm12288);(-122.86566780934281,38.60782930204722) l(r:p10udm12288-p10udt3722lv);(-122.86543810372177,38.60782903813909);(-122.86555295653191,38.6078291701495) l(r:p10udm12294-p10ulv12448);(-122.86510503057659,38.607828654671394);(-122.86509334280284,38.60793678469541) l(r:p10udm12294-p10ulv12455);(-122.86510503057659,38.607828654671394);(-122.8651397057104,38.60771153917934) l(r:p10udm12291-p10ulv12365);(-122.86499017776937,38.607828522221354);(-122.86502500503546,38.60763029931298) l(r:p10udm12289-p10ulv12342);(-122.86487532496292,38.60782838965858);(-122.86484110580408,38.607702182725276) l(r:p10udm12289-p10ulv12430);(-122.86487532496292,38.60782838965858);(-122.8648980758392,38.60794557138174) l(r:p10udm12289-p10udm12291);(-122.86487532496292,38.60782838965858) l(r:p10udm12291-p10udm12294);(-122.86499017776937,38.607828522221354) l(r:p10udm12294-p10udt3722lv);(-122.86521988338455,38.60782878700872);(-122.86510503057659,38.607828654671394) l(r:p10udt3724lv-p10ulv12271);(-122.86920776292983,38.6064634942164);(-122.8695869005729,38.60639182166091) l(r:p10udt3724lv-p10ulv12284);(-122.86920776292983,38.6064634942164);(-122.86935719949825,38.606391565453606) l(r:p10udt3724lv-p10ulv12531);(-122.86920776292983,38.6064634942164);(-122.86950647255333,38.60640975592477) l(r:p10udm12303-p10ulv12323);(-122.86917415861485,38.60599483468154);(-122.86917410952614,38.60602187051067) l(r:p10udm12306-p10ulv12421);(-122.86932351252248,38.605967965670224);(-122.86925465166428,38.60594085287151) l(r:p10udm12303-p10udm12306);(-122.86932351252248,38.605967965670224) l(r:p10udm12303-p10udt3724lv);(-122.869150599462,38.6063192389415);(-122.8690934362223,38.606174983635526);(-122.8690362895909,38.606021716355485);(-122.86917415861485,38.60599483468154) l(r:p10udm12304-p10ulv12385);(-122.86933351028746,38.606788065265256);(-122.86989641120594,38.60671659675435) l(r:p10udm12304-p10ulv12411);(-122.86933351028746,38.606788065265256);(-122.86993098040361,38.606653551459765) l(r:p10udm12304-p10udt3724lv);(-122.86926489392202,38.606625773344476);(-122.86933351028746,38.606788065265256) l(r:p10udm12310-p10ulv12273);(-122.86736113941383,38.60511863349307);(-122.867430048513,38.60511871157584) l(r:p10udm12311-p10ulv12440);(-122.86676366061008,38.605262146171036);(-122.86680965007443,38.60523516263535) l(r:p10udm12310-p10udm12311);(-122.86736095693294,38.60521776487367);(-122.86720016881472,38.605217582522094);(-122.86707383529426,38.60521743909088);(-122.86693593369746,38.60526234218204);(-122.86676366061008,38.605262146171036) l(r:p10udm12310-p10udt3726lv);(-122.86737282331549,38.60501050318345);(-122.86736113941383,38.60511863349307) l(r:p10udm12312-p10ulv12572);(-122.86735081588553,38.60448778437791);(-122.86744266072294,38.60450591237545) l(r:p10udm12312-p10udt3726lv);(-122.86737283990307,38.6050014912395);(-122.86736165367334,38.60483926322966);(-122.8673505006733,38.604659011325396);(-122.86735081588553,38.60448778437791) l(r:p10udm12315-p10ulv12275);(-122.86805593928719,38.60827360499792);(-122.86809047784529,38.608228584146495) l(r:p10udm12318-p10ulv16012);(-122.86809018084865,38.60839079905036);(-122.86798671342603,38.608444754119176) l(r:p10udm12315-p10udm12318);(-122.86809018084865,38.60839079905036) l(r:p10udm12315-p10udt3728lv);(-122.86795253807581,38.60829151228158);(-122.86805593928719,38.60827360499792) l(r:p10udm12317-p10ulv16006);(-122.8677916769456,38.60832737848669);(-122.8677915942596,38.60837243818136) l(r:p10udm12316-p10ulv12341);(-122.86765375328125,38.608381294328865);(-122.86751624370218,38.60820991153576) l(r:p10udm12316-p10udm12317);(-122.8677227482308,38.608336312550385);(-122.86765375328125,38.608381294328865) l(r:p10udm12317-p10udt3728lv);(-122.8677916769456,38.60832737848669) l(r:p10udt3730lv-p10ulv12708);(-122.86328982621022,38.608105918854804);(-122.86341621602871,38.608079030567104) l(r:p10udm12324-p10ulv12278);(-122.86328967230851,38.608187026293024);(-122.86341602810064,38.60817816188132) l(r:p10udm12324-p10ulv12307);(-122.86328967230851,38.608187026293024);(-122.86310590691713,38.60818681146646) l(r:p10udm12330-p10ulv15385);(-122.8632895013059,38.608277145667515);(-122.86308269647745,38.60831295171568) l(r:p10udm12328-p10ulv12522);(-122.86385194293351,38.608458040529825);(-122.86380630805482,38.608295772197145) l(r:p10udm12328-p10udm12330);(-122.86328933030254,38.60836726504061);(-122.86327769102016,38.608448359056865);(-122.86339254480731,38.60844849318946);(-122.86350739859522,38.608448627209306);(-122.86362225238389,38.60844876111643);(-122.86373710617333,38.608448894910836);(-122.86385194293351,38.608458040529825) l(r:p10udm12324-p10udm12330);(-122.8632895013059,38.608277145667515) l(r:p10udm12324-p10udt3730lv);(-122.86328967230851,38.608187026293024) l(r:p10udm12326-p10ulv12313);(-122.8632556952214,38.607934651779416);(-122.86311778605277,38.60797955033646) l(r:p10udm12327-p10ulv12318);(-122.86341669438693,38.60782669630513);(-122.86340503825139,38.60791680227998) l(r:p10udm12326-p10udm12327);(-122.8632443638761,38.60785353091637);(-122.86330184159087,38.607826562198056);(-122.86341669438693,38.60782669630513) l(r:p10udm12326-p10udt3730lv);(-122.86328999721137,38.60801579947767);(-122.8632556952214,38.607934651779416) l(r:p10udt3731lv-p10ulv12499);(-122.86579790747717,38.60581077231826);(-122.86575186689456,38.60586479127848) l(r:p10udm12331-p10ulv12279);(-122.86578659038234,38.60572063972504);(-122.86575205159345,38.60576565991539) l(r:p10udm12331-p10udt3731lv);(-122.86578659038234,38.60572063972504) l(r:p10udm12332-p10ulv12345);(-122.86599315178856,38.60581099605881);(-122.86602755639825,38.605838071335185) l(r:p10udm12332-p10udt3731lv);(-122.86599315178856,38.60581099605881) l(r:p10udm12339-p10ulv12488);(-122.8619819667194,38.6073383672151);(-122.86213123984524,38.607356567091216) l(r:p10udm12337-p10ulv12281);(-122.86197061963571,38.60726625816171);(-122.86244154682757,38.60724878875713) l(r:p10udm12337-p10ulv12496);(-122.86197061963571,38.60726625816171);(-122.86212001333104,38.60722137448262) l(r:p10udm12337-p10udm12339);(-122.86197061963571,38.60726625816171) l(r:p10udm12342-p10ulv12681);(-122.86192454071396,38.60733829947231);(-122.86174079479127,38.60732907056778) l(r:p10udm12339-p10udm12342);(-122.86192454071396,38.60733829947231) l(r:p10udm12339-p10udt3733lv);(-122.8619819667194,38.6073383672151) l(r:p10udm12341-p10ulv12661);(-122.86188979143867,38.6074914617622);(-122.86152236815272,38.60743695580269) l(r:p10udm12338-p10ulv12326);(-122.86208455725645,38.60774402629382);(-122.86215364127183,38.60765398810984) l(r:p10udm12338-p10udm12341);(-122.8618781680023,38.60756354371082);(-122.86196305299009,38.607582396447825);(-122.86202181120036,38.60761005722371);(-122.86203860081216,38.607670394901675);(-122.86209430588458,38.60773926145427) l(r:p10udm12341-p10udt3733lv);(-122.8619129173375,38.607410381426405);(-122.86188979143867,38.6074914617622) l(r:p10udt3735lv-p10ulv12396);(-122.86924383719965,38.605571350357366);(-122.86930139258783,38.60549931895534) l(r:p10udm12345-p10ulv12287);(-122.86928951531935,38.605715592776946);(-122.86922055657341,38.6057425516186) l(r:p10udm12345-p10udt3735lv);(-122.86928951531935,38.605715592776946) l(r:p10udt3736lv-p10ulv12288);(-122.86344236368706,38.6064028367145);(-122.86340693470899,38.60691647707854) l(r:p10udt3737lv-p10ulv12484);(-122.86431254622225,38.60782773847161);(-122.8643017059294,38.60748527148268) l(r:p10udm12348-p10ulv12290);(-122.8645422518285,38.607828004589116);(-122.864542048508,38.607936147850616) l(r:p10udm12348-p10ulv12400);(-122.8645422518285,38.607828004589116);(-122.86450821967595,38.60760266623266) l(r:p10udm12352-p10ulv12513);(-122.86465710463276,38.607828137478776);(-122.86459991533582,38.60770190390679) l(r:p10udm12348-p10udm12352);(-122.86465710463276,38.607828137478776) l(r:p10udm12348-p10udt3737lv);(-122.86442739902498,38.607827871586714);(-122.8645422518285,38.607828004589116) l(r:p10udm12349-p10ulv12368);(-122.8638646202988,38.60782721824563);(-122.86388728440359,38.60798945985271) l(r:p10udm12349-p10udt3737lv);(-122.86420917870042,38.60782761857165);(-122.86409432589912,38.60782748524237);(-122.86397947309858,38.60782735180036);(-122.8638646202988,38.60782721824563) l(r:p10udm12365-p10ulv12358);(-122.86934368802208,38.60750903339674);(-122.8693896779597,38.60748204885833) l(r:p10udm12366-p10ulv12437);(-122.86941206038854,38.60780650435549);(-122.8694693561685,38.6078786639472) l(r:p10udm12365-p10udm12366);(-122.86938944924376,38.60760821602887);(-122.86940075480078,38.607707360193544);(-122.86941206038854,38.60780650435549) l(r:p10udm12365-p10udt3740lv);(-122.86913718300846,38.60738263522377);(-122.86928644171009,38.60740983791693);(-122.86934368802208,38.60750903339674) l(r:p10udm12368-p10ulv12534);(-122.86904579270764,38.60711217420696);(-122.86909173338124,38.607112225607544) l(r:p10udm12364-p10ulv12293);(-122.86902313363652,38.60694092161289);(-122.86903476620539,38.6068598269923) l(r:p10udm12364-p10ulv12497);(-122.86902313363652,38.60694092161289);(-122.8690806084674,38.60691395004689) l(r:p10udm12364-p10udm12368);(-122.86902313363652,38.60694092161289) l(r:p10udm12368-p10udt3740lv);(-122.86904579270764,38.60711217420696) l(r:p10udt3741lv-p10ulv12304);(-122.87067794836717,38.60641105637444);(-122.870068980317,38.60655457319643) l(r:p10udm12369-p10ulv12294);(-122.87082733489534,38.60636616156021);(-122.87080447790906,38.606303052601355) l(r:p10udm12369-p10udt3741lv);(-122.87082733489534,38.60636616156021) l(r:p10udm12372-p10ulv12473);(-122.86488031062605,38.60516986714649);(-122.86504111550187,38.60516104074244) l(r:p10udm12376-p10ulv12611);(-122.86488047962004,38.60507974771807);(-122.86474259384441,38.60511563628086) l(r:p10udm12377-p10ulv12642);(-122.86485800009676,38.60481837484454);(-122.86474315208905,38.60481824216071) l(r:p10udm12376-p10udm12377);(-122.86486914688446,38.604998626970165);(-122.86486931589137,38.60490850753914);(-122.86485800009676,38.60481837484454) l(r:p10udm12372-p10udm12376);(-122.86488047962004,38.60507974771807) l(r:p10udm12372-p10udt3742lv);(-122.86488031062605,38.60516986714649) l(r:p10udm12373-p10ulv12502);(-122.8648914744195,38.605341107316846);(-122.865075266317,38.60532329544119) l(r:p10udm12373-p10ulv12604);(-122.8648914744195,38.605341107316846);(-122.8647537234718,38.60530490035038) l(r:p10udm12374-p10ulv12586);(-122.86490280723294,38.60542222805798);(-122.86474193409009,38.60546710203967) l(r:p10udm12371-p10ulv12296);(-122.8649026382648,38.605512347481195);(-122.86506342702569,38.60551253299066) l(r:p10udm12371-p10udm12374);(-122.8649026382648,38.605512347481195) l(r:p10udm12373-p10udm12374);(-122.86490280723294,38.60542222805798) l(r:p10udm12373-p10udt3742lv);(-122.8648914744195,38.605341107316846) l(r:p10udt3745lv-p10ulv12299);(-122.86659138752438,38.605261949906435);(-122.86655689952782,38.605279934509554) l(r:p10udt3746lv-p10ulv12352);(-122.86734758068512,38.606245113336755);(-122.86731319198016,38.60620902650707) l(r:p10udm12384-p10ulv12300);(-122.86748492038176,38.606506615795716);(-122.86742757793436,38.606461491046126) l(r:p10udm12384-p10udt3746lv);(-122.86751962414678,38.606371475680135);(-122.86748492038176,38.606506615795716) l(r:p10udt3748lv-p10ulv12726);(-122.86555177932988,38.6084600058395);(-122.86557501912516,38.60831584121967) l(r:p10udm12392-p10ulv12391);(-122.86543692551409,38.60845987382609);(-122.86542567579716,38.60833369348668) l(r:p10udm12401-p10ulv15424);(-122.86532207169908,38.608459741699974);(-122.86536772693675,38.60861299750579) l(r:p10udm12391-p10ulv12379);(-122.8652187032662,38.60845962269009);(-122.86521895615182,38.608324443621264) l(r:p10udm12397-p10ulv15175);(-122.86510384945261,38.608459490349794);(-122.86513803568918,38.60860372106656) l(r:p10udm12389-p10ulv12302);(-122.86498899563976,38.608459357896784);(-122.86501223656062,38.608315193390766) l(r:p10udm12390-p10ulv12343);(-122.86475928801636,38.60845909265257);(-122.8647482425007,38.608224768995065) l(r:p10udm12389-p10udm12390);(-122.86487414182768,38.60845922533104);(-122.86475928801636,38.60845909265257) l(r:p10udm12389-p10udm12397);(-122.86498899563976,38.608459357896784) l(r:p10udm12391-p10udm12397);(-122.86510384945261,38.608459490349794) l(r:p10udm12391-p10udm12401);(-122.8652187032662,38.60845962269009) l(r:p10udm12392-p10udm12401);(-122.86532207169908,38.608459741699974) l(r:p10udm12392-p10udt3748lv);(-122.86543692551409,38.60845987382609) l(r:p10udm12400-p10ulv15354);(-122.8656666331464,38.608460137740146);(-122.86564337668094,38.608613314312585) l(r:p10udm12394-p10ulv12700);(-122.86578150375239,38.60845125759004);(-122.86575876807298,38.608325064107696) l(r:p10udm12396-p10ulv12799);(-122.86588487217566,38.608451376102785);(-122.86593101493699,38.60834328548766) l(r:p10udm12396-p10ulv15214);(-122.86588487217566,38.608451376102785);(-122.86589593819551,38.60867668771291) l(r:p10udm12398-p10ulv15190);(-122.86599972598,38.60845150767649);(-122.86598802268205,38.608568649718535) l(r:p10udm12393-p10ulv12692);(-122.86611457978509,38.608451639137485);(-122.86613775147556,38.60834352215712) l(r:p10udm12393-p10udm12398);(-122.86611457978509,38.608451639137485) l(r:p10udm12396-p10udm12398);(-122.86599972598,38.60845150767649) l(r:p10udm12394-p10udm12396);(-122.86588487217566,38.608451376102785) l(r:p10udm12394-p10udm12400);(-122.86578150375239,38.60845125759004) l(r:p10udm12400-p10udt3748lv);(-122.8656666331464,38.608460137740146) l(r:p10udm12417-p10ulv12311);(-122.8659674493174,38.607279916144684);(-122.8659672146104,38.607406083302166) l(r:p10udm12418-p10ulv12380);(-122.8658526477181,38.607252748722956);(-122.86595619884935,38.60715373582942) l(r:p10udm12417-p10udm12418);(-122.8658526477181,38.607252748722956) l(r:p10udm12417-p10udt3752lv);(-122.86617406563273,38.60734323632394);(-122.86607074905365,38.607316082249866);(-122.8659674493174,38.607279916144684) l(r:p10udm12419-p10ulv12537);(-122.8665639784115,38.607659100131734);(-122.86673620722216,38.60768633226566) l(r:p10udm12419-p10udt3752lv);(-122.86638069902529,38.60739754419848);(-122.86644951007412,38.607451694501684);(-122.86652980645636,38.6075058578641);(-122.86655262669112,38.60758699151822);(-122.8665639784115,38.607659100131734) l(r:p10udm12421-p10ulv12315);(-122.8638646202988,38.60782721824563);(-122.86388825483627,38.60747577936137) l(r:p10udm12422-p10ulv12387);(-122.86397947309858,38.60782735180036);(-122.86395675778662,38.607692146022) l(r:p10udm12423-p10ulv12503);(-122.86409432589912,38.60782748524237);(-122.86412903666427,38.60769234617559) l(r:p10udm12422-p10udm12423);(-122.86409434289847,38.60781847330398) l(r:p10udm12421-p10udm12422);(-122.86397949011229,38.607818339862014) l(r:p10udm12421-p10udt3754lv);(-122.86364639998129,38.60782696418111);(-122.86376125277963,38.60782709794999);(-122.86386463732688,38.607818206307336) l(r:p10udm12424-p10ulv12525);(-122.86306065072166,38.60782628020632);(-122.86312981919784,38.607691181754326) l(r:p10udm12424-p10ulv12673);(-122.86306065072166,38.60782628020632);(-122.86310751646495,38.60733968928471) l(r:p10udm12426-p10ulv12810);(-122.86295728320734,38.60782615920052);(-122.86295784887524,38.607528765240595) l(r:p10udm12424-p10udm12426);(-122.86295728320734,38.60782615920052) l(r:p10udm12424-p10udt3754lv);(-122.8634166773028,38.60783570824325);(-122.86330182449238,38.60783557413614);(-122.86325025833854,38.60786126549488);(-122.86311804287584,38.60784437126829);(-122.86306065072166,38.60782628020632) l(r:p10udm12442-p10ulv12327);(-122.86777148484714,38.606813346591174);(-122.86771400960842,38.60684031751393) l(r:p10udm12442-p10udt3762lv);(-122.86758775604909,38.60679511492628);(-122.86777148484714,38.606813346591174) l(r:p10udm12443-p10ulv12479);(-122.86685262529676,38.60683934061645);(-122.86686432690014,38.60672219845023) l(r:p10udm12443-p10udt3762lv);(-122.86739232660962,38.60689402519369);(-122.86720844822,38.60695690040198);(-122.8670592411323,38.60690265923674);(-122.86685262529676,38.60683934061645) l(r:p10udt3764lv-p10ulv12329);(-122.8672218930412,38.605893504313876);(-122.86716461770229,38.60581233166143) l(r:p10udm12450-p10ulv12330);(-122.86491380216198,38.605683587639554);(-122.86507455755414,38.60570179701845) l(r:p10udm12450-p10ulv12610);(-122.86491380216198,38.605683587639554);(-122.8647414434961,38.605728448359415) l(r:p10udm12454-p10ulv12544);(-122.8649024692959,38.605602466903015);(-122.86437414575389,38.60561086775641) l(r:p10udm12450-p10udm12454);(-122.8649024692959,38.605602466903015) l(r:p10udm12450-p10udt3765lv);(-122.864913633206,38.60577370705878);(-122.86491380216198,38.605683587639554) l(r:p10udm12453-p10ulv12485);(-122.86493611321893,38.60603507987976);(-122.86507396690827,38.60601721498074) l(r:p10udm12451-p10ulv12435);(-122.86559067387782,38.6060808933166);(-122.86546432202508,38.60608976008673) l(r:p10udm12452-p10ulv12453);(-122.86559084198886,38.605990773899634);(-122.86569415648694,38.60601792839979) l(r:p10udm12451-p10udm12452);(-122.86559084198886,38.605990773899634) l(r:p10udm12451-p10udm12453);(-122.86495898186772,38.60608917803691);(-122.8650163224699,38.60613430399568);(-122.8651081519406,38.60616144576434);(-122.86518851332279,38.606179562289505);(-122.86528034291639,38.60620670392306);(-122.86534921936746,38.60622480711562);(-122.86542959771278,38.60623391153396);(-122.86550997607856,38.606243015897086);(-122.86556746847613,38.60620703411326);(-122.8655790207493,38.606170999540396);(-122.86559067387782,38.6060808933166) l(r:p10udm12453-p10udt3765lv);(-122.86492479716796,38.60594494720854);(-122.86493611321893,38.60603507987976) l(r:p10udm12465-p10ulv12336);(-122.86525555271427,38.60717996705787);(-122.86527837141125,38.60726110096222) l(r:p10udm12466-p10ulv12338);(-122.86515216925112,38.6071888599323);(-122.86517497097054,38.6072790057961) l(r:p10udm12467-p10ulv12357);(-122.86504880264229,38.60718874077562);(-122.8650601527956,38.607260849537084) l(r:p10udm12466-p10udm12467);(-122.86504880264229,38.60718874077562) l(r:p10udm12465-p10udm12466);(-122.86515216925112,38.6071888599323) l(r:p10udm12465-p10udt3769lv);(-122.86525555271427,38.60717996705787) l(r:p10udm12468-p10ulv12390);(-122.86545080073158,38.607180191709936);(-122.86543916407713,38.60726128596246) l(r:p10udm12469-p10ulv12404);(-122.86555418414622,38.6071712985716);(-122.86563476524856,38.60707225956924) l(r:p10udm12470-p10ulv12477);(-122.86564601514127,38.60719843991613);(-122.86558815212219,38.60743268440435) l(r:p10udm12469-p10udm12470);(-122.86564601514127,38.60719843991613) l(r:p10udm12468-p10udm12469);(-122.86555418414622,38.6071712985716) l(r:p10udm12468-p10udt3769lv);(-122.86545080073158,38.607180191709936) l(r:p10udm12472-p10ulv12340);(-122.87105679398748,38.60650159402769);(-122.87106834356453,38.606465558910024) l(r:p10udm12472-p10ulv12354);(-122.87105679398748,38.60650159402769);(-122.87111412262412,38.606555728945565) l(r:p10udm12472-p10udt3771lv);(-122.87105679398748,38.60650159402769) l(r:p10udm12474-p10ulv21372);(-122.871263412571,38.606564905233554);(-122.87133241962364,38.60651090936287) l(r:p10udm12474-p10udt3771lv);(-122.871263412571,38.606564905233554) l(r:p10udt3773lv-p10ulv12347);(-122.86982891704287,38.60593248109798);(-122.86979377811305,38.606310944377334) l(r:p10udt3773lv-p10ulv12386);(-122.86982891704287,38.60593248109798);(-122.86993158233734,38.60632010958424) l(r:p10udt3773lv-p10ulv12394);(-122.86982891704287,38.60593248109798);(-122.87015052898673,38.60591481447509) l(r:p10udm12485-p10ulv12355);(-122.86659038638162,38.60580266647714);(-122.866636076012,38.60593789797525) l(r:p10udm12485-p10udt3775lv);(-122.86659038638162,38.60580266647714) l(r:p10udm12487-p10ulv12527);(-122.86659073678462,38.6056134156831);(-122.8665447469967,38.60564039913042) l(r:p10udm12486-p10ulv12401);(-122.86659107049874,38.60543317682592);(-122.86655656573008,38.60546017337122) l(r:p10udm12486-p10udm12487);(-122.86659107049874,38.60543317682592) l(r:p10udm12487-p10udt3775lv);(-122.86659073678462,38.6056134156831) l(r:p10udm12488-p10ulv12356);(-122.86903812412166,38.60501237865453);(-122.86903804222491,38.60505743837706) l(r:p10udm12488-p10udt3776lv);(-122.86903812412166,38.60501237865453) l(r:p10udm12489-p10ulv12474);(-122.86912956085703,38.605255803937354);(-122.86915259606269,38.6052197818455) l(r:p10udm12489-p10udt3776lv);(-122.86912956085703,38.605255803937354) l(r:p10udm12490-p10ulv12359);(-122.86656284345067,38.608271911988986);(-122.86668909894894,38.608317115674446) l(r:p10udm12492-p10ulv12828);(-122.86656299366807,38.60819080454099);(-122.86640214878759,38.60821765689717) l(r:p10udm12491-p10ulv12463);(-122.86656316057555,38.608100685153005);(-122.86671251981478,38.60807381949329) l(r:p10udm12491-p10udm12492);(-122.86656316057555,38.608100685153005) l(r:p10udm12490-p10udm12492);(-122.86656299366807,38.60819080454099) l(r:p10udm12490-p10udt3777lv);(-122.86656284345067,38.608271911988986) l(r:p10udm12493-p10ulv12834);(-122.86634428739752,38.608451901721295);(-122.8663904461829,38.60833479898538) l(r:p10udm12493-p10ulv15165);(-122.86634428739752,38.608451901721295);(-122.86639001165655,38.608569109380205) l(r:p10udm12493-p10udt3777lv);(-122.86644765582408,38.608452019736916);(-122.86634428739752,38.608451901721295) l(r:p10udm12495-p10ulv15965);(-122.86656204228106,38.60870448502595);(-122.86672288818995,38.60867763245406) l(r:p10udm12495-p10ulv15979);(-122.86656204228106,38.60870448502595);(-122.86674577568257,38.60872271830351) l(r:p10udm12495-p10udt3777lv);(-122.86656247625005,38.608470174634895);(-122.8665623260306,38.60855128207903);(-122.86656217581054,38.60863238952201);(-122.86656204228106,38.60870448502595) l(r:p10udm12499-p10ulv12367);(-122.86559134631743,38.6057204156403);(-122.86551100216576,38.605693287448894) l(r:p10udm12499-p10udt3780lv);(-122.86560299935604,38.60563030940816);(-122.86559134631743,38.6057204156403) l(r:p10udm12500-p10ulv12422);(-122.8656033355411,38.60545007055879);(-122.86553439249457,38.605468015284956) l(r:p10udm12500-p10udt3780lv);(-122.8656033355411,38.60545007055879) l(r:p10udt3785lv-p10ulv12384);(-122.8669874687282,38.608452634557196);(-122.86698766838185,38.60834449129443) l(r:p10udt3785lv-p10ulv16511);(-122.8669874687282,38.608452634557196);(-122.86703296118328,38.6086960091017) l(r:p10udm12513-p10ulv16470);(-122.86710232253971,38.60845276504875);(-122.86711347547852,38.608633016859464) l(r:p10udm12512-p10ulv16247);(-122.86767631022929,38.60860661877208);(-122.86750402914039,38.60860642383684) l(r:p10udm12512-p10udm12513);(-122.8672056909707,38.60845288239477);(-122.86730905940229,38.60845299964948);(-122.8674239132159,38.60845312982541);(-122.86752728164876,38.60845324688737);(-122.86763065008223,38.60845336385801);(-122.8676534718543,38.60853449728704);(-122.86767631022929,38.60860661877208) l(r:p10udm12513-p10udt3785lv);(-122.86710232253971,38.60845276504875) l(r:p10udm12515-p10ulv16530);(-122.86688410029848,38.6084525170184);(-122.86689531928911,38.608596721096156) l(r:p10udm12511-p10ulv15129);(-122.86656174183803,38.60886669990653);(-122.8663779746882,38.60886649021515) l(r:p10udm12511-p10udm12515);(-122.86678073186935,38.60845239938832);(-122.86666587805993,38.60845226858113);(-122.86656495148635,38.60847897481346);(-122.86657381142723,38.60855129517501);(-122.8665736612201,38.608632402618035);(-122.86657352770214,38.60870449812199);(-122.86656189205985,38.6087855924668);(-122.86656174183803,38.60886669990653) l(r:p10udm12515-p10udt3785lv);(-122.86688410029848,38.6084525170184) l(r:p10udt3786lv-p10ulv12389);(-122.86479629782367,38.607098329722426);(-122.86449727679195,38.60731427088316) l(r:p10udt3786lv-p10ulv12483);(-122.86479629782367,38.607098329722426);(-122.86461216264561,38.60729637993672) l(r:p10udt3788lv-p10ulv12508);(-122.86594627302107,38.606315612183415);(-122.86598094605283,38.60619849640793) l(r:p10udm12520-p10ulv12393);(-122.8657511280899,38.60626131671399);(-122.86582012219009,38.60621633603942) l(r:p10udm12520-p10udt3788lv);(-122.8657511280899,38.60626131671399) l(r:p10udt3793lv-p10ulv12504);(-122.86944778993487,38.60710361140911);(-122.8695970644878,38.60712180176513) l(r:p10udm12528-p10ulv12403);(-122.86920776292983,38.6064634942164);(-122.86882873938059,38.606472081946194) l(r:p10udm12528-p10udt3793lv);(-122.8693906418183,38.6069503443239);(-122.86932243979709,38.606790464899454);(-122.86925399303672,38.60662861099796);(-122.86920776292983,38.6064634942164) l(r:p10udm12529-p10ulv12472);(-122.86984894055124,38.60756366817523);(-122.8698373901871,38.607599703164404) l(r:p10udm12529-p10udt3793lv);(-122.86951640716454,38.6072659032109);(-122.86956213584038,38.60738310966193);(-122.86960786466452,38.607500316092995);(-122.86966507888614,38.60761753529643);(-122.86984894055124,38.60756366817523) l(r:p10udt3794lv-p10ulv12405);(-122.86849776130296,38.60532719138247);(-122.86844022170487,38.60539021044647) l(r:p10udt3798lv-p10ulv12419);(-122.87134272997605,38.607168793795516);(-122.87135440824476,38.60706066311651) l(r:p10udm12540-p10ulv12424);(-122.870411182454,38.607861686781746);(-122.87039958369053,38.60792475764663) l(r:p10udm12541-p10ulv12451);(-122.87011275991453,38.607753212429806);(-122.87011248372272,38.60790641541954) l(r:p10udm12540-p10udm12541);(-122.87030810685602,38.607699357335754);(-122.87011275991453,38.607753212429806) l(r:p10udm12540-p10udt3799lv);(-122.87053760159492,38.60781676691463);(-122.870411182454,38.607861686781746) l(r:p10udm12542-p10ulv12505);(-122.87084783343167,38.607745014043395);(-122.87079052021339,38.607681867063775) l(r:p10udm12543-p10ulv21357);(-122.87102019314875,38.607700144347);(-122.87110060611293,38.60769122098975) l(r:p10udm12542-p10udm12543);(-122.87102019314875,38.607700144347) l(r:p10udm12542-p10udt3799lv);(-122.87084783343167,38.607745014043395) l(r:p10udt3801lv-p10ulv15409);(-122.8641965043518,38.60845844089864);(-122.8642307057534,38.60859365993994) l(r:p10udm12545-p10ulv12429);(-122.86408165054493,38.608458307555104);(-122.86405907087818,38.60825100630926) l(r:p10udm12545-p10ulv15169);(-122.86408165054493,38.608458307555104);(-122.8640813275184,38.608629534363125) l(r:p10udm12545-p10udt3801lv);(-122.86408165054493,38.608458307555104) l(r:p10udm12546-p10ulv12433);(-122.8643113581594,38.60845857412947);(-122.86427719062327,38.60830533123306) l(r:p10udm12546-p10ulv12458);(-122.8643113581594,38.60845857412947);(-122.86435757115123,38.608314436388476) l(r:p10udm12546-p10udt3801lv);(-122.8643113581594,38.60845857412947) l(r:p10udt3810lv-p10ulv12579);(-122.86561646756552,38.60456691330514);(-122.86555911101686,38.60453079957392) l(r:p10udt3810lv-p10ulv12588);(-122.86561646756552,38.60456691330514);(-122.86582315970396,38.60458517439896) l(r:p10udt3810lv-p10ulv12612);(-122.86561646756552,38.60456691330514);(-122.8657427663555,38.604585082195634) l(r:p10udm12565-p10ulv12539);(-122.86561663563519,38.60447679386468);(-122.86578890683688,38.60447699156086) l(r:p10udm12566-p10ulv12545);(-122.86561680370411,38.604386674422834);(-122.86550197320211,38.60437753054072) l(r:p10udm12571-p10ulv12587);(-122.86561697177228,38.60429655497959);(-122.86578924254307,38.60429675267449) l(r:p10udm12568-p10ulv12563);(-122.865617123033,38.604215447479476);(-122.86573200366874,38.60419755541472) l(r:p10udm12567-p10ulv12549);(-122.86561729109975,38.604125328033575);(-122.86574355553454,38.60416152081246) l(r:p10udm12567-p10udm12568);(-122.86561729109975,38.604125328033575) l(r:p10udm12568-p10udm12571);(-122.865617123033,38.604215447479476) l(r:p10udm12566-p10udm12571);(-122.86561697177228,38.60429655497959) l(r:p10udm12565-p10udm12566);(-122.86561680370411,38.604386674422834) l(r:p10udm12565-p10udt3810lv);(-122.86561663563519,38.60447679386468) l(r:p10udm12575-p10ulv12637);(-122.86561629949512,38.60465703274423);(-122.86576563515338,38.60463918020884) l(r:p10udm12573-p10ulv12591);(-122.86561613142392,38.60474715218191);(-122.86576540011514,38.60476534742236) l(r:p10udm12564-p10ulv12465);(-122.86561596335198,38.60483727161819);(-122.86551256647438,38.60485517676425) l(r:p10udm12570-p10ulv12585);(-122.8656158120866,38.604918379109655);(-122.8657421786582,38.60490050022694) l(r:p10udm12576-p10ulv12672);(-122.86583385581251,38.605008748920355);(-122.86582257235858,38.604900592431314) l(r:p10udm12577-p10ulv12687);(-122.86594870412898,38.60500888053432);(-122.86597187494597,38.60490076352149) l(r:p10udm12576-p10udm12577);(-122.86594870412898,38.60500888053432) l(r:p10udm12570-p10udm12576);(-122.86561564401325,38.60500849854329);(-122.86573049232831,38.605008630371415);(-122.86583385581251,38.605008748920355) l(r:p10udm12564-p10udm12570);(-122.8656158120866,38.604918379109655) l(r:p10udm12564-p10udm12573);(-122.86561596335198,38.60483727161819) l(r:p10udm12573-p10udm12575);(-122.86561613142392,38.60474715218191) l(r:p10udm12575-p10udt3810lv);(-122.86561629949512,38.60465703274423) l(r:p10udt3812lv-p10ulv12713);(-122.86192540422702,38.60688770253927);(-122.86139694960062,38.60695917350346) l(r:p10udm12580-p10ulv12530);(-122.86193673393826,38.60696882354043);(-122.86237318656073,38.60696032569019) l(r:p10udm12579-p10ulv12469);(-122.8619365957884,38.60704091905107);(-122.86210889034156,38.607032110237) l(r:p10udm12579-p10ulv12667);(-122.8619365957884,38.60704091905107);(-122.86177573448222,38.6070767769939) l(r:p10udm12579-p10udm12580);(-122.8619365957884,38.60704091905107) l(r:p10udm12580-p10udt3812lv);(-122.86193673393826,38.60696882354043) l(r:p10udm12584-p10ulv12761);(-122.86185694269496,38.606653310793774);(-122.86136284098838,38.60677889391278) l(r:p10udm12583-p10ulv12732);(-122.86183412804321,38.606572176221306);(-122.86163884709156,38.60658996941678) l(r:p10udm12583-p10udm12584);(-122.86183412804321,38.606572176221306) l(r:p10udm12584-p10udt3812lv);(-122.86190257215185,38.60681557992225);(-122.86187975739784,38.60673444536076);(-122.86185694269496,38.606653310793774) l(r:p10udt3816lv-p10ulv12514);(-122.86299442950211,38.6064113251141);(-122.86304021554426,38.60649248635042) l(r:p10udm12589-p10ulv12517);(-122.862891064018,38.60641120405354);(-122.86290191460688,38.60674465928393) l(r:p10udm12589-p10udt3816lv);(-122.862891064018,38.60641120405354) l(r:p10udt3823lv-p10ulv12747);(-122.86159619580502,38.604877646364635);(-122.8617801429018,38.60477873218289) l(r:p10udt3823lv-p10ulv12751);(-122.86159619580502,38.604877646364635);(-122.86192944521162,38.60477890842997) l(r:p10udt3823lv-p10ulv12822);(-122.86159619580502,38.604877646364635);(-122.86186053645305,38.60477882710884) l(r:p10udm12598-p10ulv12540);(-122.86161894042908,38.604994828772895);(-122.86202101293362,38.60494123175422) l(r:p10udm12598-p10ulv12566);(-122.86161894042908,38.604994828772895);(-122.86188317783169,38.60495008112062) l(r:p10udm12598-p10ulv12581);(-122.86161894042908,38.604994828772895);(-122.86194060193364,38.60495014888019) l(r:p10udm12598-p10ulv12605);(-122.86161894042908,38.604994828772895);(-122.86174535998776,38.60494991838268) l(r:p10udm12598-p10ulv12608);(-122.86161894042908,38.604994828772895);(-122.86155010072828,38.60495869950127) l(r:p10udm12598-p10ulv12645);(-122.86161894042908,38.604994828772895);(-122.86181426890958,38.60494999977195) l(r:p10udm12598-p10udt3823lv);(-122.86161894042908,38.604994828772895) l(r:p10udm12600-p10ulv12578);(-122.86119495663034,38.604498668704274);(-122.86130989083945,38.60445374515683) l(r:p10udm12600-p10udt3823lv);(-122.86144684130667,38.60490450551774);(-122.8612975040449,38.604922352538104);(-122.86127469056558,38.60484121783472);(-122.86125189449037,38.60475107118379);(-122.8612290811161,38.604669936469335);(-122.86121775255539,38.60458881536989);(-122.86119495663034,38.604498668704274) l(r:p10udt3824lv-p10ulv12541);(-122.86152789309999,38.6045621468699);(-122.8617460343461,38.60459845263672) l(r:p10udt3824lv-p10ulv12543);(-122.86152789309999,38.6045621468699);(-122.86157383213352,38.60456220121883) l(r:p10udt3824lv-p10ulv12596);(-122.86152789309999,38.6045621468699);(-122.86179197340321,38.60459850690004) l(r:p10udt3824lv-p10ulv12641);(-122.86152789309999,38.6045621468699);(-122.86167712576066,38.60459837120789) l(r:p10udt3824lv-p10ulv12658);(-122.86152789309999,38.6045621468699);(-122.86170044122424,38.604418159503375) l(r:p10udm12610-p10ulv12547);(-122.86212544541729,38.60438261314305);(-122.86206783200916,38.60448167684642) l(r:p10udm12610-p10ulv12551);(-122.86212544541729,38.60438261314305);(-122.86194149980575,38.60448152787703) l(r:p10udm12610-p10ulv12555);(-122.86212544541729,38.60438261314305);(-122.86199892353446,38.60448159560729) l(r:p10udm12610-p10ulv12839);(-122.86212544541729,38.60438261314305);(-122.86205600221767,38.60466190216208) l(r:p10udm12610-p10udt3824lv);(-122.86212544541729,38.60438261314305) l(r:p10udm12611-p10ulv12548);(-122.86156203572115,38.60472440259535);(-122.8617342037298,38.6047786779147) l(r:p10udm12611-p10ulv12597);(-122.86156203572115,38.60472440259535);(-122.86149323095246,38.6046702494046) l(r:p10udm12611-p10ulv12814);(-122.86156203572115,38.60472440259535);(-122.86166529497206,38.6047785964786) l(r:p10udm12611-p10udt3824lv);(-122.86156203572115,38.60472440259535) l(r:p10udt3825lv-p10ulv12613);(-122.86260778690276,38.60439219238528);(-122.86264218956156,38.60441926865992) l(r:p10udm12621-p10ulv12573);(-122.86261954654157,38.604248014776374);(-122.86259649120133,38.604293047526134) l(r:p10udm12623-p10ulv12615);(-122.86303323588253,38.604122332196994);(-122.86311368006494,38.60409539042951) l(r:p10udm12625-p10ulv12632);(-122.86303279050487,38.604356642728455);(-122.86317062433432,38.604347792004766) l(r:p10udm12620-p10ulv12542);(-122.8630326192044,38.604446762161125);(-122.86318192083422,38.60444693680904) l(r:p10udm12620-p10udm12625);(-122.8630326192044,38.604446762161125) l(r:p10udm12623-p10udm12625);(-122.86303311597368,38.60418541580255);(-122.86303294467466,38.60427553523785);(-122.86303279050487,38.604356642728455) l(r:p10udm12621-p10udm12623);(-122.86267719335294,38.60413092690862);(-122.86284942940115,38.604149152817556);(-122.86288383203518,38.60417622902223);(-122.86303401388918,38.60417643143467);(-122.86303323588253,38.604122332196994) l(r:p10udm12621-p10udt3825lv);(-122.86261954654157,38.604248014776374) l(r:p10udm12624-p10ulv12624);(-122.86259604440077,38.60452735804644);(-122.86256146981728,38.60459040118735) l(r:p10udm12624-p10ulv12634);(-122.86259604440077,38.60452735804644);(-122.8623772488753,38.60483350768271) l(r:p10udm12624-p10ulv12723);(-122.86259604440077,38.60452735804644);(-122.8622511572459,38.60470719185258) l(r:p10udm12624-p10udt3825lv);(-122.86259604440077,38.60452735804644) l(r:p10udt3826lv-p10ulv12546);(-122.86226150505901,38.60530199354551);(-122.8622387076046,38.605211847091375) l(r:p10udt3826lv-p10ulv12583);(-122.86226150505901,38.60530199354551);(-122.86218116263395,38.60527486306922) l(r:p10udt3826lv-p10ulv12592);(-122.86226150505901,38.60530199354551);(-122.86223891436224,38.60510370378979) l(r:p10udt3826lv-p10ulv12635);(-122.86226150505901,38.60530199354551);(-122.86223900051091,38.605058644080195) l(r:p10udt3826lv-p10ulv12640);(-122.86226150505901,38.60530199354551);(-122.86225029583719,38.60515778896016) l(r:p10udm12630-p10ulv12575);(-122.86245674796311,38.60530222318366);(-122.86248018210745,38.6050589277484) l(r:p10udm12630-p10ulv12576);(-122.86245674796311,38.60530222318366);(-122.86244531469852,38.605275173859525) l(r:p10udm12630-p10ulv12601);(-122.86245674796311,38.60530222318366);(-122.86248026810512,38.60501386803801) l(r:p10udm12634-p10ulv12599);(-122.86264050599256,38.60530243901612);(-122.86262938191682,38.60511317475577) l(r:p10udm12634-p10ulv12600);(-122.86264050599256,38.60530243901612);(-122.86262929601209,38.605158234465684) l(r:p10udm12634-p10ulv12618);(-122.86264050599256,38.60530243901612);(-122.86262919292616,38.60521230611711) l(r:p10udm12629-p10ulv12557);(-122.86283574890099,38.60530266802195);(-122.862858873026,38.60522158746554) l(r:p10udm12629-p10ulv12631);(-122.86283574890099,38.60530266802195);(-122.86285877011213,38.60527565911691) l(r:p10udm12629-p10udm12634);(-122.86283574890099,38.60530266802195) l(r:p10udm12630-p10udm12634);(-122.86264050599256,38.60530243901612) l(r:p10udm12630-p10udt3826lv);(-122.86245674796311,38.60530222318366) l(r:p10udm12640-p10ulv12621);(-122.86206626215711,38.605301763581615);(-122.86204353396417,38.60517556932324) l(r:p10udm12637-p10ulv12602);(-122.86188250413382,38.60530154684745);(-122.86184829140662,38.605175338996304) l(r:p10udm12637-p10ulv12617);(-122.86188250413382,38.60530154684745);(-122.86189405810222,38.605265512635896) l(r:p10udm12637-p10ulv12628);(-122.86188250413382,38.60530154684745);(-122.86190571568802,38.605175406773334) l(r:p10udm12641-p10ulv12623);(-122.86167589746198,38.60523821908673);(-122.86177938226919,38.605175257626684) l(r:p10udm12637-p10udm12641);(-122.86167589746198,38.60523821908673) l(r:p10udm12637-p10udm12640);(-122.86188250413382,38.60530154684745) l(r:p10udm12640-p10udt3826lv);(-122.86206626215711,38.605301763581615) l(r:p10udm12649-p10ulv12643);(-122.86483604477489,38.60427763169382);(-122.86504273588194,38.60429589416506) l(r:p10udm12646-p10ulv12550);(-122.86483577430296,38.60442182279818);(-122.86505401807308,38.604404050738204) l(r:p10udm12647-p10ulv12598);(-122.86484712381535,38.604493931613305);(-122.86503084604135,38.604512167576296) l(r:p10udm12648-p10ulv12609);(-122.8648583212337,38.604647147919195);(-122.86503062659793,38.60462932284509) l(r:p10udm12647-p10udm12648);(-122.86484698858966,38.60456602716329);(-122.8648583212337,38.604647147919195) l(r:p10udm12646-p10udm12647);(-122.86484712381535,38.604493931613305) l(r:p10udm12646-p10udm12649);(-122.86483590953918,38.604349727246436);(-122.86483577430296,38.60442182279818) l(r:p10udm12649-p10udt3827lv);(-122.86482472911864,38.60418749898652);(-122.86483604477489,38.60427763169382) l(r:p10udm12650-p10ulv12754);(-122.8646072309984,38.60380874506411);(-122.86467613883717,38.60380882476621) l(r:p10udm12650-p10udt3827lv);(-122.86481356565446,38.60401625877659);(-122.86481371781795,38.60393515127525);(-122.8646070108718,38.60392590034497);(-122.8646072309984,38.60380874506411) l(r:p10udm12652-p10ulv12772);(-122.86496355882208,38.60364694141108);(-122.86488328481016,38.60358376500289) l(r:p10udm12651-p10ulv12763);(-122.86510140793416,38.6036290764641);(-122.86511279132887,38.60368316137324) l(r:p10udm12651-p10udm12652);(-122.86510140793416,38.6036290764641) l(r:p10udm12652-p10udt3827lv);(-122.86480213629531,38.60401714229698);(-122.86480223315793,38.60393513800785);(-122.86495153373868,38.60393531039617);(-122.86496328861139,38.60379113253179);(-122.86496355882208,38.60364694141108) l(r:p10udt3828lv-p10ulv12619);(-122.86624899928276,38.604099015835956);(-122.86624894909987,38.60412605167069) l(r:p10udm12654-p10ulv12556);(-122.86606524429477,38.6040988056752);(-122.86608789546004,38.60427005891116) l(r:p10udm12654-p10ulv12569);(-122.86606524429477,38.6040988056752);(-122.86598466712051,38.60419784503148) l(r:p10udm12654-p10ulv12574);(-122.86606524429477,38.6040988056752);(-122.86590427420366,38.60419775293986) l(r:p10udm12657-p10ulv12589);(-122.8660308740092,38.60405370651349);(-122.86597340032567,38.60408067659692) l(r:p10udm12657-p10ulv12614);(-122.8660308740092,38.60405370651349);(-122.86590449222328,38.604080597658196) l(r:p10udm12654-p10udm12657);(-122.8660308740092,38.60405370651349) l(r:p10udm12654-p10udt3828lv);(-122.86606524429477,38.6040988056752) l(r:p10udm12658-p10ulv12606);(-122.86662799395151,38.60409944838129);(-122.86666236463306,38.60414454736797) l(r:p10udm12653-p10ulv12552);(-122.8666277437474,38.60423462755579);(-122.86655883549594,38.60423454900211) l(r:p10udm12653-p10udm12658);(-122.8666277437474,38.60423462755579) l(r:p10udm12658-p10udt3828lv);(-122.86644423895957,38.60409923881562);(-122.86662799395151,38.60409944838129) l(r:p10udt3829lv-p10ulv12553);(-122.86697138658698,38.604721664724686);(-122.86709763612838,38.60476686798619) l(r:p10udm12662-p10ulv12626);(-122.86697170270081,38.604550437781675);(-122.8670176251055,38.60455950193812) l(r:p10udm12662-p10udt3829lv);(-122.86697170270081,38.604550437781675) l(r:p10udt3830lv-p10ulv12554);(-122.86617902002772,38.604675701505045);(-122.86608720879119,38.604639548628434) l(r:p10udt3830lv-p10ulv12558);(-122.86617902002772,38.604675701505045);(-122.86616760220157,38.604639640595266) l(r:p10udt3830lv-p10ulv12570);(-122.86617902002772,38.604675701505045);(-122.86598392964095,38.60459437058404) l(r:p10udm12666-p10ulv12722);(-122.86617870202882,38.60484692843885);(-122.86604096812795,38.60480171104129) l(r:p10udm12666-p10udt3830lv);(-122.86617870202882,38.60484692843885) l(r:p10udt3831lv-p10ulv12603);(-122.86439964258419,38.60426811487571);(-122.8643421341888,38.604313108043414) l(r:p10udt3831lv-p10ulv12627);(-122.86439964258419,38.60426811487571);(-122.8644110255515,38.6043221998464) l(r:p10udm12668-p10ulv12582);(-122.86438848011838,38.60409687462941);(-122.86442288330718,38.6041239503829) l(r:p10udm12670-p10ulv12620);(-122.86389450246837,38.60416839686893);(-122.86379110612778,38.604186300497034) l(r:p10udm12667-p10ulv12559);(-122.86389434926895,38.60424950436392);(-122.8639517387874,38.60426759502328) l(r:p10udm12672-p10ulv12636);(-122.86388255813272,38.60441170599273);(-122.86398597178523,38.604384790341435) l(r:p10udm12667-p10udm12672);(-122.86388271134629,38.604330598500034);(-122.86388255813272,38.60441170599273) l(r:p10udm12667-p10udm12670);(-122.86389434926895,38.60424950436392) l(r:p10udm12668-p10udm12670);(-122.86389450246837,38.60416839686893) l(r:p10udm12668-p10udt3831lv);(-122.86438848011838,38.60409687462941) l(r:p10udt3832lv-p10ulv12580);(-122.86303164277705,38.60496044290076);(-122.86285935328716,38.60496925308591) l(r:p10udm12675-p10ulv12568);(-122.86303181408189,38.604870323476035);(-122.86289406497451,38.604834114321) l(r:p10udm12674-p10ulv12562);(-122.8630319682556,38.604789215992575);(-122.86319272116982,38.60480742795477) l(r:p10udm12674-p10ulv12625);(-122.8630319682556,38.604789215992575);(-122.86295157468875,38.60478912187134) l(r:p10udm12674-p10udm12675);(-122.8630319682556,38.604789215992575) l(r:p10udm12675-p10udt3832lv);(-122.86303181408189,38.604870323476035) l(r:p10udm12676-p10ulv12577);(-122.86303148860202,38.605041550381834);(-122.862859181766,38.60505937250846) l(r:p10udm12673-p10ulv12560);(-122.8630313686877,38.60510463397745);(-122.86285907885295,38.605113444161326) l(r:p10udm12673-p10udm12676);(-122.8630313686877,38.60510463397745) l(r:p10udm12680-p10ulv12629);(-122.86335306399921,38.60504192631782);(-122.8633417500812,38.60495179348211) l(r:p10udm12678-p10ulv12595);(-122.86357125881621,38.60505119285646);(-122.86355996168822,38.60495204809887) l(r:p10udm12678-p10udm12680);(-122.86345641044358,38.60505105890916);(-122.86357125881621,38.60505119285646) l(r:p10udm12676-p10udm12680);(-122.86313485212189,38.60504167131478);(-122.8632382156424,38.60504179215641);(-122.86335306399921,38.60504192631782) l(r:p10udm12676-p10udt3832lv);(-122.86303148860202,38.605041550381834) l(r:p10udt3833lv-p10ulv12561);(-122.86447945930576,38.60457461408959);(-122.86446804234649,38.60453855301494) l(r:p10udt3833lv-p10ulv12565);(-122.86447945930576,38.60457461408959);(-122.86453642567713,38.604818003045786) l(r:p10udt3833lv-p10ulv12644);(-122.86447945930576,38.60457461408959);(-122.86446792369198,38.60460163661976) l(r:p10udm12683-p10ulv12638);(-122.86430720485072,38.6045654025219);(-122.86431874051884,38.60453838000752) l(r:p10udm12683-p10ulv12639);(-122.86430720485072,38.6045654025219);(-122.86431862173363,38.60460146361196) l(r:p10udm12683-p10udt3833lv);(-122.86430720485072,38.6045654025219) l(r:p10udm12686-p10ulv12564);(-122.86776466378265,38.604271965614686);(-122.86773009383997,38.60433501029372) l(r:p10udm12688-p10ulv12633);(-122.86764994905218,38.60419974022308);(-122.86755802173238,38.60422667211415) l(r:p10udm12686-p10udm12688);(-122.86764994905218,38.60419974022308) l(r:p10udm12686-p10udt3834lv);(-122.86776466378265,38.604271965614686) l(r:p10udm12687-p10ulv12593);(-122.86784424690225,38.60471364172894);(-122.86779820855483,38.60476766150836) l(r:p10udm12687-p10udt3834lv);(-122.86800562819636,38.60438939317805);(-122.86807437160482,38.604479590330904);(-122.86795924338443,38.604632663867044);(-122.86784424690225,38.60471364172894) l(r:p10udt3835lv-p10ulv12567);(-122.86347893617638,38.60528539620617);(-122.86357023501715,38.605591909379406) l(r:p10udt3835lv-p10ulv12584);(-122.86347893617638,38.60528539620617);(-122.8635936313786,38.60536663761051) l(r:p10udt3836lv-p10ulv12571);(-122.86662677627575,38.60475732033439);(-122.86668405017285,38.60483849326215) l(r:p10udt3837lv-p10ulv12590);(-122.86388179205554,38.60481724343934);(-122.8637209878098,38.604826068252045) l(r:p10udm12693-p10ulv12594);(-122.86388194527221,38.60473613595227);(-122.86405418307659,38.604754360089416) l(r:p10udm12693-p10udt3837lv);(-122.86388194527221,38.60473613595227) l(r:p10udm12694-p10ulv12607);(-122.86235574253219,38.60406746551851);(-122.86226381341498,38.60409439324807) l(r:p10udm12694-p10udt3838lv);(-122.86220673465675,38.60391408677698);(-122.86235603517771,38.60391426247652);(-122.86235574253219,38.60406746551851) l(r:p10udm12695-p10ulv12683);(-122.86164398655094,38.60391342281285);(-122.8616782502253,38.60401259491924) l(r:p10udm12695-p10udt3838lv);(-122.86183922568759,38.603913653474315);(-122.86164398655094,38.60391342281285) l(r:p10udt3842lv-p10ulv12674);(-122.86101519326402,38.608382610106894);(-122.86104977102892,38.60831956746971) l(r:p10udt3842lv-p10ulv15399);(-122.86101519326402,38.608382610106894);(-122.86094617670062,38.60843659985872) l(r:p10udm12713-p10ulv12769);(-122.86080850888952,38.60835532857862);(-122.86085455476966,38.60830131159619) l(r:p10udm12713-p10ulv15277);(-122.86080850888952,38.60835532857862);(-122.86070503608416,38.6084092771995) l(r:p10udm12717-p10ulv15091);(-122.86076265452101,38.6083102142431);(-122.8605444153254,38.60831896632769) l(r:p10udm12717-p10ulv15272);(-122.86076265452101,38.6083102142431);(-122.86054427577562,38.60839106181741) l(r:p10udm12712-p10ulv12767);(-122.86076277643554,38.608247130688184);(-122.86070536713224,38.608238050409305) l(r:p10udm12706-p10ulv12654);(-122.86086640559446,38.60811207458437);(-122.86079759812247,38.60805792101097) l(r:p10udm12706-p10ulv12733);(-122.86086640559446,38.60811207458437);(-122.86087787351651,38.60812110017597) l(r:p10udm12706-p10udm12712);(-122.86080883969105,38.608184101786094);(-122.86086640559446,38.60811207458437) l(r:p10udm12712-p10udm12717);(-122.86076277643554,38.608247130688184) l(r:p10udm12713-p10udm12717);(-122.86076265452101,38.6083102142431) l(r:p10udm12713-p10udt3842lv);(-122.86091184235818,38.60837347535653);(-122.86080850888952,38.60835532857862) l(r:p10udm12718-p10ulv15144);(-122.86118743904481,38.60840083846687);(-122.86121032298273,38.6084459253945) l(r:p10udm12718-p10ulv15342);(-122.86118743904481,38.60840083846687);(-122.86116413834577,38.608572038005306) l(r:p10udm12719-p10ulv15163);(-122.8613252981786,38.60838297800116);(-122.86141716378279,38.60839209878556) l(r:p10udm12719-p10ulv15184);(-122.8613252981786,38.60838297800116);(-122.86137097954241,38.60851821147989) l(r:p10udm12716-p10ulv12833);(-122.86133692230263,38.60831089611885);(-122.86126797546143,38.60832883831565) l(r:p10udm12707-p10ulv12670);(-122.86134854640316,38.60823881423443);(-122.86144039449499,38.60825694693719) l(r:p10udm12705-p10ulv12651);(-122.86136017048022,38.60816673234794);(-122.86130272646729,38.6081756762356) l(r:p10udm12710-p10ulv12690);(-122.86137179453382,38.60809465045936);(-122.86146371176216,38.60807673539611) l(r:p10udm12715-p10ulv12806);(-122.86140657987583,38.60792346446731);(-122.86148692495804,38.60795059546805) l(r:p10udm12709-p10ulv12686);(-122.86111943015426,38.607932135992584);(-122.8610847831336,38.608031226402794) l(r:p10udm12709-p10ulv12777);(-122.86111943015426,38.607932135992584);(-122.86108504377157,38.60789604734739) l(r:p10udm12709-p10udm12715);(-122.86134913606263,38.60793240837851);(-122.86129170958525,38.6079323403243);(-122.86121131251723,38.60793224500105);(-122.86111943015426,38.607932135992584) l(r:p10udm12710-p10udm12715);(-122.86138341856393,38.6080225685687);(-122.86139500789643,38.60796851055025);(-122.86140657987583,38.60792346446731) l(r:p10udm12705-p10udm12710);(-122.86137179453382,38.60809465045936) l(r:p10udm12705-p10udm12707);(-122.86136017048022,38.60816673234794) l(r:p10udm12707-p10udm12716);(-122.86134854640316,38.60823881423443) l(r:p10udm12716-p10udm12719);(-122.86133692230263,38.60831089611885) l(r:p10udm12718-p10udm12719);(-122.8612563339108,38.608409932127174);(-122.8613252981786,38.60838297800116) l(r:p10udm12718-p10udt3842lv);(-122.86111854419633,38.60839174476602);(-122.86118743904481,38.60840083846687) l(r:p10udm12725-p10ulv12652);(-122.8610964753693,38.60196618970069);(-122.86113096313537,38.60194820669615) l(r:p10udm12728-p10ulv12688);(-122.86093571193882,38.601956986809206);(-122.86094710933484,38.60200206018656) l(r:p10udm12728-p10ulv12820);(-122.86093571193882,38.601956986809206);(-122.86088996592878,38.60185780080352) l(r:p10udm12725-p10udm12728);(-122.86093571193882,38.601956986809206) l(r:p10udm12725-p10udt3843lv);(-122.8610964753693,38.60196618970069) l(r:p10udm12726-p10ulv12655);(-122.86137123281692,38.60241711382398);(-122.86131381073488,38.602417045788755) l(r:p10udm12727-p10ulv12684);(-122.86171567884548,38.60246258117263);(-122.86179615623001,38.60241761640896) l(r:p10udm12727-p10ulv12714);(-122.86171567884548,38.60246258117263);(-122.86175006295994,38.60249866966187) l(r:p10udm12726-p10udm12727);(-122.86142849893396,38.60249828934197);(-122.86152039168734,38.60248938614932);(-122.86171567884548,38.60246258117263) l(r:p10udm12726-p10udt3843lv);(-122.86131396682872,38.602335938277065);(-122.86137123281692,38.60241711382398) l(r:p10udm12731-p10ulv12653);(-122.86354284568512,38.60186092418306);(-122.8635199623652,38.601815837661846) l(r:p10udm12731-p10ulv12760);(-122.86354284568512,38.60186092418306);(-122.86351979168951,38.601905957135585) l(r:p10udm12731-p10udt3844lv);(-122.86354284568512,38.60186092418306) l(r:p10udm12733-p10ulv12815);(-122.86354323817113,38.601653649389576);(-122.86358931182438,38.60158160736179) l(r:p10udm12733-p10udt3844lv);(-122.86354323817113,38.601653649389576) l(r:p10udt3845lv-p10ulv12656);(-122.86510189718584,38.60336773004646);(-122.86513651955792,38.603277650299376) l(r:p10udm12735-p10ulv12787);(-122.86498705148905,38.60336759760615);(-122.86502184281032,38.60318739843514) l(r:p10udm12735-p10udt3845lv);(-122.86498705148905,38.60336759760615) l(r:p10udm12737-p10ulv12662);(-122.86216722556915,38.60055257671218);(-122.86207518013751,38.60064258795939) l(r:p10udm12737-p10ulv12675);(-122.86216722556915,38.60055257671218);(-122.86229370586689,38.600471617884295) l(r:p10udm12745-p10ulv12823);(-122.86208694020728,38.60049841031225);(-122.86221338603956,38.60043547546855) l(r:p10udm12736-p10ulv12657);(-122.86199517086382,38.600444230315084);(-122.86190307354963,38.60056127727486) l(r:p10udm12736-p10ulv12746);(-122.86199517086382,38.600444230315084);(-122.86212159943919,38.600390307519056) l(r:p10udm12742-p10ulv12703);(-122.86191490301943,38.600381051847506);(-122.86200698282744,38.600273016823614) l(r:p10udm12742-p10ulv12728);(-122.86191490301943,38.600381051847506);(-122.86177688649755,38.600489032550065) l(r:p10udm12736-p10udm12742);(-122.86191490301943,38.600381051847506) l(r:p10udm12736-p10udm12745);(-122.86199517086382,38.600444230315084) l(r:p10udm12737-p10udm12745);(-122.86208694020728,38.60049841031225) l(r:p10udm12737-p10udt3846lv);(-122.86216722556915,38.60055257671218) l(r:p10udm12741-p10ulv12698);(-122.86233926357764,38.60066993480406);(-122.86224720098409,38.600768958133465) l(r:p10udm12741-p10ulv12826);(-122.86233926357764,38.60066993480406);(-122.86239689075708,38.600561858947096) l(r:p10udm12739-p10ulv12665);(-122.86243103346752,38.600724114530315);(-122.86254602963707,38.600643141931826) l(r:p10udm12738-p10ulv12663);(-122.86260307231544,38.60084147223247);(-122.8624651075351,38.60092241789316) l(r:p10udm12738-p10ulv12825);(-122.86260307231544,38.60084147223247);(-122.86267216621341,38.60074242167372) l(r:p10udm12738-p10udm12739);(-122.86251130214663,38.60078729264171);(-122.86260307231544,38.60084147223247) l(r:p10udm12739-p10udm12741);(-122.86243103346752,38.600724114530315) l(r:p10udm12741-p10udt3846lv);(-122.86233926357764,38.60066993480406) l(r:p10udt3847lv-p10ulv12709);(-122.86076621525918,38.60052388236134);(-122.86063987257408,38.60053274394806) l(r:p10udm12748-p10ulv12659);(-122.86075488785723,38.60044276116166);(-122.86060557710734,38.600451595381244) l(r:p10udm12751-p10ulv12701);(-122.86074356048053,38.600361639959814);(-122.86088143913014,38.60032575606638) l(r:p10udm12750-p10ulv12679);(-122.86072074905341,38.60028050508893);(-122.86059437192472,38.600307390525074) l(r:p10udm12750-p10udm12751);(-122.86072074905341,38.60028050508893) l(r:p10udm12748-p10udm12751);(-122.86074356048053,38.600361639959814) l(r:p10udm12748-p10udt3847lv);(-122.86075488785723,38.60044276116166) l(r:p10udm12753-p10ulv12816);(-122.86077754268635,38.60060500355883);(-122.86097285977905,38.60056017590725) l(r:p10udm12749-p10ulv12671);(-122.86091500436369,38.60078540638483);(-122.8609033114779,38.600893536111265) l(r:p10udm12749-p10udm12753);(-122.86080035427904,38.600686138414446);(-122.8608116817696,38.60076725960651);(-122.86091500436369,38.60078540638483) l(r:p10udm12753-p10udt3847lv);(-122.86077754268635,38.60060500355883) l(r:p10udm12754-p10ulv12660);(-122.86340621237467,38.60123893901146);(-122.86342936869737,38.601139834385414) l(r:p10udm12754-p10ulv12800);(-122.86340621237467,38.60123893901146);(-122.8633371019161,38.60134700194424) l(r:p10udm12755-p10ulv12731);(-122.86358987475784,38.60128421307578);(-122.86353229998207,38.60136525366467) l(r:p10udm12754-p10udm12755);(-122.86350953630487,38.6012570835001);(-122.86358987475784,38.60128421307578) l(r:p10udm12754-p10udt3848lv);(-122.86340621237467,38.60123893901146) l(r:p10udm12756-p10ulv12766);(-122.86321104889491,38.601202663184495);(-122.86317639090193,38.60131076628757) l(r:p10udm12758-p10ulv12788);(-122.86311920933949,38.60118453186532);(-122.86316535156057,38.60107644220521) l(r:p10udm12757-p10ulv12781);(-122.86302736983048,38.6011664004741);(-122.86300417868314,38.601283528915275) l(r:p10udm12757-p10udm12758);(-122.86302736983048,38.6011664004741) l(r:p10udm12756-p10udm12758);(-122.86311920933949,38.60118453186532) l(r:p10udm12756-p10udt3848lv);(-122.86321104889491,38.601202663184495) l(r:p10udt3849lv-p10ulv12664);(-122.86203619024722,38.603012689376165);(-122.86197871590596,38.603039657501334) l(r:p10udt3849lv-p10ulv12682);(-122.86203619024722,38.603012689376165);(-122.86208192131646,38.603120886863366) l(r:p10udt3849lv-p10ulv12695);(-122.86203619024722,38.603012689376165);(-122.86207064378226,38.60301272998855) l(r:p10udm12764-p10ulv12711);(-122.86202493005328,38.60289552054989);(-122.86205936628141,38.60290457311069) l(r:p10udm12763-p10ulv12696);(-122.86201368715186,38.60276933977483);(-122.8620482268313,38.60272432066636) l(r:p10udm12768-p10ulv12768);(-122.86199094257712,38.602652157398715);(-122.86207135100582,38.602643240229874) l(r:p10udm12763-p10udm12768);(-122.86199094257712,38.602652157398715) l(r:p10udm12763-p10udm12764);(-122.86201368715186,38.60276933977483) l(r:p10udm12764-p10udt3849lv);(-122.86202493005328,38.60289552054989) l(r:p10udm12765-p10ulv12719);(-122.8616456995524,38.60302124033862);(-122.86164568224973,38.60303025228348) l(r:p10udm12765-p10ulv12798);(-122.8616456995524,38.60302124033862);(-122.8616225920822,38.6030933087399) l(r:p10udm12766-p10ulv12725);(-122.86157710407728,38.602858943844005);(-122.86155397927699,38.60294002417868) l(r:p10udm12765-p10udm12766);(-122.86157710407728,38.602858943844005) l(r:p10udm12765-p10udt3849lv);(-122.8616456995524,38.60302124033862) l(r:p10udm12767-p10ulv12745);(-122.86232337191288,38.60297697972197);(-122.86224275640643,38.603094040403704) l(r:p10udm12767-p10udt3849lv);(-122.86232337191288,38.60297697972197) l(r:p10udt3850lv-p10ulv12666);(-122.86203529303806,38.60348131049917);(-122.86190915255844,38.60338203011015) l(r:p10udt3850lv-p10ulv12758);(-122.86203529303806,38.60348131049917);(-122.8622189947269,38.60350856281796) l(r:p10udt3850lv-p10ulv12774);(-122.86203529303806,38.60348131049917);(-122.86223072053457,38.60338240911657) l(r:p10udt3850lv-p10ulv12802);(-122.86203529303806,38.60348131049917);(-122.862081110644,38.60354444825761) l(r:p10udm12771-p10ulv12697);(-122.8629535629273,38.60374373643165);(-122.86298798254272,38.60376180066308) l(r:p10udm12771-p10udt3850lv);(-122.8620350169695,38.60362550160636);(-122.86204620827826,38.60377871819281);(-122.86219550851774,38.603778894096635);(-122.86234480875854,38.603779069809974);(-122.86251711265705,38.603761248431375);(-122.86273533781808,38.60375249263504);(-122.8629535629273,38.60374373643165) l(r:p10udm12775-p10ulv12668);(-122.86168081042278,38.60267882715022);(-122.86164628783843,38.60271483420488) l(r:p10udm12775-p10udt3851lv);(-122.86168081042278,38.60267882715022) l(r:p10udm12776-p10ulv12691);(-122.86133620731306,38.60271446720637);(-122.8613592629321,38.602669434693254) l(r:p10udm12776-p10ulv12712);(-122.86133620731306,38.60271446720637);(-122.86133610326586,38.602768538877484) l(r:p10udm12776-p10udt3851lv);(-122.86133620731306,38.60271446720637) l(r:p10udm12779-p10ulv12773);(-122.862510476872,38.60121986616094);(-122.8624872848674,38.60133699449702) l(r:p10udm12779-p10ulv12821);(-122.862510476872,38.60121986616094);(-122.86248771477446,38.601111695797826) l(r:p10udm12782-p10ulv12838);(-122.86267129038588,38.60120203104349);(-122.86270558853505,38.60128317899949) l(r:p10udm12779-p10udm12782);(-122.8625908836388,38.601210948629856);(-122.86267129038588,38.60120203104349) l(r:p10udm12779-p10udt3852lv);(-122.862510476872,38.60121986616094) l(r:p10udm12781-p10ulv12827);(-122.86220038553573,38.60122851341191);(-122.86220016151663,38.60134566873281) l(r:p10udm12778-p10ulv12669);(-122.86210852896038,38.601219393247945);(-122.8620857329736,38.60112924670371) l(r:p10udm12778-p10udm12781);(-122.86210852896038,38.601219393247945) l(r:p10udm12781-p10udt3852lv);(-122.86230374358273,38.60122863506886);(-122.86220038553573,38.60122851341191) l(r:p10udt3853lv-p10ulv12676);(-122.86098969154601,38.603741420117345);(-122.86124244011611,38.60369666025176) l(r:p10udm12785-p10ulv12680);(-122.86596295496511,38.60352192283551);(-122.86583664120272,38.60351276612832) l(r:p10udm12785-p10udt3855lv);(-122.86596310583221,38.60344081532347);(-122.86596295496511,38.60352192283551) l(r:p10udm12786-p10ulv12721);(-122.86594074023442,38.603116358954324);(-122.86583737946427,38.60311624050466) l(r:p10udm12786-p10udt3855lv);(-122.86596340756458,38.60327860029602);(-122.86595207388687,38.603197479626274);(-122.86594074023442,38.603116358954324) l(r:p10udm12789-p10ulv12739);(-122.86149052854422,38.60010117929357);(-122.86136403066212,38.60019114920724) l(r:p10udm12789-p10ulv12818);(-122.86149052854422,38.60010117929357);(-122.86159405804429,38.60001118208015) l(r:p10udm12795-p10ulv12832);(-122.86157081301495,38.6001553461047);(-122.86166285845123,38.60006533524668) l(r:p10udm12787-p10ulv12685);(-122.86166258167121,38.60020952643603);(-122.86154753326527,38.60031753401895) l(r:p10udm12787-p10ulv12737);(-122.86166258167121,38.60020952643603);(-122.86175462706247,38.60011951550658) l(r:p10udm12787-p10ulv12803);(-122.86166258167121,38.60020952643603);(-122.86152463436592,38.60028145904798) l(r:p10udm12787-p10udm12795);(-122.86166258167121,38.60020952643603) l(r:p10udm12789-p10udm12795);(-122.86157081301495,38.6001553461047) l(r:p10udm12789-p10udt3856lv);(-122.86149052854422,38.60010117929357) l(r:p10udm12791-p10ulv12789);(-122.86131849327654,38.5999838199477);(-122.86123789685469,38.60009186805121) l(r:p10udm12792-p10ulv12791);(-122.8612267251667,38.59992963934549);(-122.86131873605957,38.59985765265419) l(r:p10udm12790-p10ulv12778);(-122.86104313723311,38.59984831377315);(-122.86099699262347,38.59995640261475) l(r:p10udm12796-p10ulv16770);(-122.860939868116,38.599803131258824);(-122.86104338049702,38.59972214647818) l(r:p10udm12796-p10ulv16796);(-122.860939868116,38.599803131258824);(-122.8609058683387,38.59956877962313) l(r:p10udm12790-p10udm12796);(-122.860939868116,38.599803131258824) l(r:p10udm12790-p10udm12792);(-122.86113493983035,38.5998844706206);(-122.86104313723311,38.59984831377315) l(r:p10udm12791-p10udm12792);(-122.8612267251667,38.59992963934549) l(r:p10udm12791-p10udt3856lv);(-122.86131849327654,38.5999838199477) l(r:p10udt3857lv-p10ulv12829);(-122.86187825717788,38.60152552860738);(-122.86169445689856,38.60155234743733) l(r:p10udm12798-p10ulv12689);(-122.86186661743616,38.60160662257745);(-122.86143031848889,38.601552034977416) l(r:p10udm12798-p10ulv12807);(-122.86186661743616,38.60160662257745);(-122.86200448065752,38.60157974931917) l(r:p10udm12801-p10ulv12730);(-122.86184349336757,38.60168770298808);(-122.86170566446883,38.601696552161876) l(r:p10udm12804-p10ulv12783);(-122.8618318708386,38.60175978500543);(-122.862004170032,38.60174196436716) l(r:p10udm12799-p10ulv12702);(-122.86182023100606,38.60184087896748);(-122.8617511348809,38.60193992900046) l(r:p10udm12799-p10ulv12736);(-122.86182023100606,38.60184087896748);(-122.86199237506898,38.60190416586808) l(r:p10udm12799-p10ulv12749);(-122.86182023100606,38.60184087896748);(-122.86171687208306,38.60184075688187) l(r:p10udm12799-p10udm12804);(-122.86182023100606,38.60184087896748) l(r:p10udm12801-p10udm12804);(-122.8618318708386,38.60175978500543) l(r:p10udm12798-p10udm12801);(-122.86184349336757,38.60168770298808) l(r:p10udm12798-p10udt3857lv);(-122.86186661743616,38.60160662257745) l(r:p10udm12808-p10ulv12824);(-122.86190151931227,38.60137235260778);(-122.86200484305078,38.60139049842415) l(r:p10udm12805-p10ulv12801);(-122.86172972241972,38.6011288266165);(-122.86169514871864,38.6011918695398) l(r:p10udm12800-p10ulv12707);(-122.86158061807929,38.60102951870227);(-122.86173008552872,38.60093957570905) l(r:p10udm12800-p10ulv12805);(-122.86158061807929,38.60102951870227);(-122.86152300661767,38.60112858219791) l(r:p10udm12800-p10udm12805);(-122.86166092094957,38.601074673497145);(-122.86158061807929,38.60102951870227) l(r:p10udm12805-p10udm12808);(-122.86191315897608,38.60129125863083);(-122.8618215269923,38.60116498292113);(-122.86172972241972,38.6011288266165) l(r:p10udm12808-p10udt3857lv);(-122.86188989689312,38.601444434635);(-122.86190151931227,38.60137235260778) l(r:p10udt3858lv-p10ulv12785);(-122.86122493775194,38.60085787006887);(-122.8612481837038,38.60071370613208) l(r:p10udm12810-p10ulv12693);(-122.86112163234198,38.600830711616);(-122.86110993975856,38.60093884136282) l(r:p10udm12810-p10ulv12786);(-122.86112163234198,38.600830711616);(-122.86116777732863,38.60072262274211) l(r:p10udm12811-p10ulv12705);(-122.86053543424822,38.60109136159083);(-122.86067319243644,38.60111856158232) l(r:p10udm12810-p10udm12811);(-122.8610183270101,38.60080355307197);(-122.86091249612166,38.6007942007711);(-122.86080917351558,38.600776053990565);(-122.86073127528154,38.600776175916344);(-122.86066235292978,38.60078510584476);(-122.860570409939,38.60082104421378);(-122.86054733698367,38.60087508853371);(-122.8605127972578,38.600920107213376);(-122.86052410701888,38.60101024037702);(-122.86053543424822,38.60109136159083) l(r:p10udm12810-p10udt3858lv);(-122.86112163234198,38.600830711616) l(r:p10udm12816-p10ulv12817);(-122.86130527489553,38.600885001212326);(-122.86138588944087,38.600767941130314) l(r:p10udm12813-p10ulv12779);(-122.86137414525845,38.60090310674963);(-122.86135100352595,38.60099319902) l(r:p10udm12812-p10ulv12755);(-122.86144299832942,38.60093022419445);(-122.86158098157851,38.60084026779277) l(r:p10udm12812-p10udm12813);(-122.86144299832942,38.60093022419445) l(r:p10udm12813-p10udm12816);(-122.86137414525845,38.60090310674963) l(r:p10udm12816-p10udt3858lv);(-122.86130527489553,38.600885001212326) l(r:p10udt3859lv-p10ulv12694);(-122.86115167449836,38.60311978685613);(-122.86134668605885,38.60323717361368) l(r:p10udt3859lv-p10ulv12710);(-122.86115167449836,38.60311978685613);(-122.86122030397313,38.60326405970291) l(r:p10udt3859lv-p10ulv12716);(-122.86115167449836,38.60311978685613);(-122.86122059902422,38.60311085664718) l(r:p10udm12820-p10ulv12753);(-122.86109447768821,38.60300256343233);(-122.86110604904847,38.60295751733967) l(r:p10udm12820-p10udt3859lv);(-122.86109447768821,38.60300256343233) l(r:p10udm12821-p10ulv12699);(-122.86298013071637,38.60185125472605);(-122.86291108760469,38.601923269605564) l(r:p10udm12823-p10ulv12738);(-122.86293455336356,38.601661950038725);(-122.86288858188348,38.60167992012221) l(r:p10udm12821-p10udm12823);(-122.86293455336356,38.601661950038725) l(r:p10udm12824-p10ulv12764);(-122.86258902779271,38.60218423893563);(-122.86254300432347,38.60222924472037) l(r:p10udm12821-p10udm12824);(-122.86278489715805,38.601851025978235);(-122.86257817927515,38.601850783419664);(-122.86258935429063,38.60201301194917);(-122.86258902779271,38.60218423893563) l(r:p10udm12821-p10udt3860lv);(-122.86298013071637,38.60185125472605) l(r:p10udm12822-p10ulv12718);(-122.86297951384921,38.60217568481572);(-122.86302541710495,38.6021937624854) l(r:p10udm12822-p10udt3860lv);(-122.86297951384921,38.60217568481572) l(r:p10udt3861lv-p10ulv12704);(-122.86550537093323,38.602557117522835);(-122.86528684646697,38.60272809353632) l(r:p10udm12826-p10ulv12717);(-122.8655856443508,38.602620293514335);(-122.86528676223125,38.602773153269624) l(r:p10udm12828-p10ulv12759);(-122.86572322266613,38.602746618978635);(-122.86550478223944,38.602872535660694) l(r:p10udm12826-p10udm12828);(-122.86565443344819,38.602683456266945);(-122.86572322266613,38.602746618978635) l(r:p10udm12826-p10udt3861lv);(-122.8655856443508,38.602620293514335) l(r:p10udm12827-p10ulv12740);(-122.8653677933614,38.60243079179968);(-122.86520680919114,38.602538750085266) l(r:p10udm12827-p10ulv12804);(-122.8653677933614,38.60243079179968);(-122.86505734274506,38.602628697496144) l(r:p10udm12827-p10udt3861lv);(-122.86543658208704,38.6024939546817);(-122.8653677933614,38.60243079179968) l(r:p10udt3862lv-p10ulv12729);(-122.86207209271416,38.60225572655538);(-122.86226736181196,38.602237932601746) l(r:p10udt3862lv-p10ulv12750);(-122.86207209271416,38.60225572655538);(-122.86211806476464,38.602237756795475) l(r:p10udm12830-p10ulv12715);(-122.86202629318667,38.60218357683471);(-122.86181959163684,38.60217432098815) l(r:p10udm12830-p10ulv12776);(-122.86202629318667,38.60218357683471);(-122.86201486057506,38.60215652745529) l(r:p10udm12830-p10udt3862lv);(-122.86202629318667,38.60218357683471) l(r:p10udm12831-p10ulv12720);(-122.86207192022512,38.60234584601687);(-122.8620948545438,38.60236389697765) l(r:p10udm12831-p10ulv12835);(-122.86207192022512,38.60234584601687);(-122.86201448094205,38.6023547902716) l(r:p10udm12831-p10udt3862lv);(-122.86207192022512,38.60234584601687) l(r:p10udm12838-p10ulv12780);(-122.86069377421053,38.602353225594555);(-122.86078561463847,38.60237135881514) l(r:p10udm12836-p10ulv12724);(-122.8606709622159,38.60227209074058);(-122.86077442621988,38.60221814207662) l(r:p10udm12836-p10udm12838);(-122.8606709622159,38.60227209074058) l(r:p10udm12838-p10udt3863lv);(-122.86069377421053,38.602353225594555) l(r:p10udm12839-p10ulv12794);(-122.86072789650419,38.602524493564566);(-122.86081985899835,38.602479543140845) l(r:p10udm12837-p10ulv12748);(-122.86073920678004,38.60261462668365);(-122.860865570504,38.60259675305443) l(r:p10udm12837-p10udm12839);(-122.86073920678004,38.60261462668365) l(r:p10udm12839-p10udt3863lv);(-122.86072789650419,38.602524493564566) l(r:p10udt3864lv-p10ulv12727) l(r:p10udt3865lv-p10ulv12734);(-122.86068699365791,38.59991998612087);(-122.8605032493684,38.599919767246476) l(r:p10udm12842-p10ulv12752);(-122.86071006620062,38.5998659417638);(-122.86044612594053,38.59976649565059) l(r:p10udm12844-p10ulv16788);(-122.86074460529623,38.59982092301661);(-122.86045785422237,38.599640342050655) l(r:p10udm12842-p10udm12844);(-122.86074460529623,38.59982092301661) l(r:p10udm12842-p10udt3865lv);(-122.86071006620062,38.5998659417638) l(r:p10udm12843-p10ulv12775);(-122.86067530057441,38.600028115840175);(-122.860583358557,38.600064054225854) l(r:p10udm12843-p10udt3865lv);(-122.86068688913399,38.59997405781639);(-122.86067530057441,38.600028115840175) l(r:p10udm12846-p10ulv12819);(-122.86453037940471,38.60192515527164);(-122.86451879340898,38.60197921366265) l(r:p10udm12845-p10ulv12735);(-122.86386534323063,38.60136564155437);(-122.86381925296186,38.6014466956424) l(r:p10udm12845-p10udm12846);(-122.86463392467192,38.60182614344715);(-122.86455361909672,38.601780990693165);(-122.86448481487842,38.60172683923501);(-122.86441599380812,38.601681699684086);(-122.86434718978805,38.60162754814446);(-122.86427836889887,38.60158240851218);(-122.86420956507703,38.60152825689108);(-122.8641407443689,38.60148311717742);(-122.86404895522367,38.601437950743005);(-122.863957149181,38.60140179618472);(-122.86386534323063,38.60136564155437) l(r:p10udm12846-p10udt3866lv);(-122.86426657908113,38.601744610256176);(-122.86438130346949,38.601807827033625);(-122.86453037940471,38.60192515527164) l(r:p10udm12847-p10ulv12741);(-122.86258840915741,38.602508669001466);(-122.86254236828972,38.602562686729) l(r:p10udm12847-p10udt3867lv);(-122.86258840915741,38.602508669001466) l(r:p10udm12848-p10ulv12797);(-122.86278333561394,38.60267111310781);(-122.86279476859528,38.602698162410476) l(r:p10udm12848-p10udt3867lv);(-122.86278333561394,38.60267111310781) l(r:p10udt3868lv-p10ulv12742);(-122.86521873187137,38.60230445268686);(-122.86506924905284,38.60240341206461) l(r:p10udt3869lv-p10ulv12809);(-122.86735678782216,38.6012434833707);(-122.86726466498064,38.601378558446726) l(r:p10udm12850-p10ulv12743);(-122.86750593372325,38.60132476003775);(-122.8674370116744,38.60133369395574) l(r:p10udm12850-p10udt3869lv);(-122.86750593372325,38.60132476003775) l(r:p10udm12851-p10ulv12793);(-122.86728809817615,38.601126249903785);(-122.86723071031476,38.601108160873814) l(r:p10udm12851-p10udt3869lv);(-122.86728809817615,38.601126249903785) l(r:p10udt3870lv-p10ulv12744);(-122.86707960376428,38.60209029385532);(-122.8671714454789,38.60210842206757) l(r:p10udm12854-p10ulv12756);(-122.8625325857881,38.60167049052504);(-122.86259005882937,38.60164352211914) l(r:p10udm12854-p10udt3871lv);(-122.8625325857881,38.60167049052504) l(r:p10udm12855-p10ulv12837);(-122.86257817927515,38.601850783419664);(-122.86261251198815,38.601913907500446) l(r:p10udm12855-p10udt3871lv);(-122.86258918420297,38.6018482067721) l(r:p10udt3874lv-p10ulv12812);(-122.8627406420053,38.60096780122571);(-122.86285567251764,38.600868804429176) l(r:p10udm12858-p10ulv12765);(-122.86277500876281,38.601012901371085);(-122.86292447469161,38.60092295684934) l(r:p10udm12858-p10udt3874lv);(-122.86277500876281,38.601012901371085) l(r:p10udt3875lv-p10ulv12770);(-122.8605803947194,38.60159608536041);(-122.86101688446459,38.60155154471288) l(r:p10udt3876lv-p10ulv12771);(-122.86297771459658,38.60312193914084);(-122.86302375549698,38.60306792124726) l(r:p10udt3877lv-p10ulv12792);(-122.86958017858495,38.60376032102817);(-122.8703042133911,38.60348175476862) l(r:p10udt3878lv-p10ulv12795);(-122.86780394549777,38.60164051594011);(-122.86787299997962,38.60155948621178) l(r:p10udt4214lv-p10ulv15180);(-122.86049161566694,38.61186060144264);(-122.86083624553879,38.611833975943085) l(r:p10udm13894-p10ulv15089);(-122.8604914585941,38.611941708819124);(-122.86085899108814,38.611951158361215) l(r:p10udm13899-p10ulv15262);(-122.86049128406796,38.61203182812499);(-122.86069803114474,38.61203207442418) l(r:p10udm13895-p10ulv15108);(-122.86049112699376,38.612112935499084);(-122.8606634164182,38.61211314077436) l(r:p10udm13903-p10ulv15410);(-122.86049095246615,38.61220305480229);(-122.86031869777662,38.61218482541229) l(r:p10udm13900-p10ulv15305);(-122.86093841842137,38.61245592203852);(-122.86098455379043,38.6123568453968) l(r:p10udm13900-p10udm13903);(-122.8605022813795,38.61228417586701);(-122.86050210686477,38.61237429516763);(-122.86050194980083,38.61245540253699);(-122.86060532394679,38.61245552572395);(-122.86070869809338,38.612455648819605);(-122.86082355825698,38.61245578548544);(-122.86093841842137,38.61245592203852) l(r:p10udm13895-p10udm13903);(-122.8605024384421,38.612203068495255) l(r:p10udm13895-p10udm13899);(-122.86050261295534,38.612112949192) l(r:p10udm13894-p10udm13899);(-122.86050277001661,38.61203184181787) l(r:p10udm13894-p10udt4214lv);(-122.86050294452838,38.61194172251195) l(r:p10udm13896-p10ulv15113);(-122.8604917901916,38.61177048213414);(-122.86031955400983,38.61174324081506) l(r:p10udm13896-p10ulv15327);(-122.8604917901916,38.61177048213414);(-122.86069858879797,38.61174369263797) l(r:p10udm13893-p10ulv13763);(-122.86049194726313,38.611689374755294);(-122.86006703921107,38.611652819609006) l(r:p10udm13893-p10ulv15373);(-122.86049194726313,38.611689374755294);(-122.86085947846995,38.61169882429384) l(r:p10udm13898-p10ulv15206);(-122.8604921217863,38.611599255444126);(-122.86030834771258,38.61159903620823) l(r:p10udm13904-p10ulv15453);(-122.86049227885648,38.61151814806289);(-122.8606416474253,38.61149129018487) l(r:p10udm13898-p10udm13904);(-122.86049227885648,38.61151814806289) l(r:p10udm13893-p10udm13898);(-122.8604921217863,38.611599255444126) l(r:p10udm13893-p10udm13896);(-122.86049194726313,38.611689374755294) l(r:p10udm13896-p10udt4214lv);(-122.8604917901916,38.61177048213414) l(r:p10udt4219lv-p10ulv13772);(-122.86043766038385,38.610067158464915);(-122.85987493437402,38.61003043817058) l(r:p10udt4219lv-p10ulv13799);(-122.86043766038385,38.610067158464915);(-122.8601274957408,38.610093824005084) l(r:p10udt4219lv-p10ulv15122);(-122.86043766038385,38.610067158464915);(-122.86031126597335,38.61009404351784) l(r:p10udm13923-p10ulv15123);(-122.86047100022923,38.61064396327247);(-122.8606548241618,38.610617146450174) l(r:p10udm13923-p10udt4219lv);(-122.8604489016247,38.61019333923059);(-122.86044872705621,38.6102834585633);(-122.86046003817118,38.610373591591184);(-122.86045986361553,38.610463710921145);(-122.8604596890591,38.61055383024972);(-122.86047100022923,38.61064396327247) l(r:p10udm13924-p10ulv15378);(-122.86043795717103,38.60991395559289);(-122.86027717609662,38.609904751776135) l(r:p10udm13921-p10ulv13803);(-122.86044989665005,38.60967965900759);(-122.86013980366081,38.60967027684911) l(r:p10udm13921-p10udm13924);(-122.86044959989074,38.60983286188585);(-122.86044973954246,38.60976076641424);(-122.86044989665005,38.60967965900759) l(r:p10udm13924-p10udt4219lv);(-122.86043780004867,38.60999506299624);(-122.86043795717103,38.60991395559289) l(r:p10udt4232lv-p10ulv15179);(-122.86045986361553,38.610463710921145);(-122.86085032515237,38.610491211734534) l(r:p10udt4232lv-p10ulv15283);(-122.86045986361553,38.610463710921145);(-122.86023013216061,38.61047244870744) l(r:p10udm13963-p10ulv13828);(-122.86046003817118,38.610373591591184);(-122.85980535418228,38.61037280908728) l(r:p10udm13963-p10ulv15314);(-122.86046003817118,38.610373591591184);(-122.86064379168748,38.61038282251618) l(r:p10udm13968-p10ulv15381);(-122.86044872705621,38.6102834585633);(-122.86027644200912,38.61028325298008) l(r:p10udm13963-p10udm13968);(-122.86043729665037,38.61028434165449) l(r:p10udm13963-p10udt4232lv);(-122.86044855248696,38.61037357789461) l(r:p10udm13964-p10ulv15099);(-122.86048213689725,38.61082421561727);(-122.86061989622769,38.610860427593416) l(r:p10udm13964-p10udt4232lv);(-122.86047117477206,38.610553843945254);(-122.86048243069172,38.61064308017814);(-122.86047082568558,38.61073408259829);(-122.86048213689725,38.61082421561727) l(r:p10udm14947-p10ulv14813);(-122.8718172548166,38.59867103029498);(-122.87181727084472,38.59866201833966) l(r:p10udm14947-p10udt4523lv);(-122.87193209306061,38.598671156019584);(-122.8718172548166,38.59867103029498) l(r:p10udm14948-p10ulv14814);(-122.87225365612117,38.598662495493514);(-122.87228794789812,38.59875265264856) l(r:p10udm14948-p10udt4523lv);(-122.87215030171265,38.598662382630444);(-122.87225365612117,38.598662495493514) l(r:p10udt4527lv-p10ulv14819) l(r:p10udt4528lv-p10ulv14820);(-122.87288623596307,38.59811345390483);(-122.87265656124445,38.5981132042185) l(r:p10udt4645lv-p10ulv15202);(-122.86327692142775,38.60885389621274);(-122.86282907493502,38.60880831232928) l(r:p10udm15224-p10ulv15138);(-122.86327707534747,38.608772788783824);(-122.86310475964495,38.60879061124433) l(r:p10udm15224-p10ulv15173);(-122.86327707534747,38.608772788783824);(-122.8627947559275,38.6087361764437) l(r:p10udm15222-p10ulv15094);(-122.86327738318504,38.608610573922604);(-122.8631280900474,38.60860138744391) l(r:p10udm15222-p10ulv15162);(-122.86327738318504,38.608610573922604);(-122.86340379098021,38.60857467371536) l(r:p10udm15222-p10ulv15391);(-122.86327738318504,38.608610573922604);(-122.86284090350493,38.60862808706118) l(r:p10udm15221-p10ulv15061);(-122.86327753710292,38.6085294664903);(-122.86311674162116,38.60852927851477) l(r:p10udm15230-p10ulv15264);(-122.86327769102016,38.608448359056865);(-122.86287566846678,38.60846591257902) l(r:p10udm15221-p10udm15230);(-122.86326620564147,38.60844834563742) l(r:p10udm15221-p10udm15222);(-122.86327753710292,38.6085294664903) l(r:p10udm15222-p10udm15224);(-122.86327722926657,38.60869168135377);(-122.86327738318504,38.608610573922604) l(r:p10udm15224-p10udt4645lv);(-122.86327707534747,38.608772788783824) l(r:p10udm15233-p10ulv15337);(-122.86326529915489,38.608925978284475);(-122.86273693390199,38.608943383632685) l(r:p10udm15232-p10ulv15336);(-122.86326514522105,38.60900708571123);(-122.8631043315495,38.6090159096529) l(r:p10udm15232-p10ulv15431);(-122.86326514522105,38.60900708571123);(-122.86272527673164,38.60903348952067) l(r:p10udm15229-p10ulv15252);(-122.86348336910953,38.60900734049323);(-122.86351794501834,38.60894429713006) l(r:p10udm15229-p10ulv15291);(-122.86348336910953,38.60900734049323);(-122.86356369911913,38.609043482003) l(r:p10udm15223-p10ulv15125);(-122.86370159300078,38.609007594868274);(-122.86364414860432,38.6090165399033) l(r:p10udm15223-p10ulv15226);(-122.86370159300078,38.609007594868274);(-122.8637246321221,38.60897157387515) l(r:p10udm15223-p10udm15229);(-122.86370159300078,38.609007594868274) l(r:p10udm15229-p10udm15232);(-122.86348336910953,38.60900734049323) l(r:p10udm15235-p10ulv15371);(-122.86326497418275,38.60909720507295);(-122.8631845929221,38.60908809916694) l(r:p10udm15234-p10ulv15364);(-122.8632648031437,38.60918732443329);(-122.863149931061,38.60919620210911) l(r:p10udm15234-p10ulv15439);(-122.8632648031437,38.60918732443329);(-122.8633567042082,38.609178419824204) l(r:p10udm15220-p10ulv15060);(-122.86326463210384,38.60927744379224);(-122.86337952139196,38.60925955406829) l(r:p10udm15220-p10udm15234);(-122.86326463210384,38.60927744379224) l(r:p10udm15234-p10udm15235);(-122.8632648031437,38.60918732443329) l(r:p10udm15232-p10udm15235);(-122.86326497418275,38.60909720507295) l(r:p10udm15232-p10udm15233);(-122.86326514522105,38.60900708571123) l(r:p10udm15233-p10udt4645lv);(-122.86326529915489,38.608925978284475) l(r:p10udt4646lv-p10ulv15237);(-122.86451606135257,38.609540246067674);(-122.86453865967253,38.609738535247104) l(r:p10udm15239-p10ulv15063);(-122.86463091688634,38.60954037898797);(-122.86459612147294,38.60972057783929) l(r:p10udm15239-p10ulv15425);(-122.86463091688634,38.60954037898797);(-122.86461971922192,38.60938716278825) l(r:p10udm15241-p10ulv15213);(-122.86474577242083,38.609540511795544);(-122.8647110619113,38.60967565100294) l(r:p10udm15243-p10ulv15297);(-122.86486062795612,38.60954064449039);(-122.86486032367317,38.609702859336416) l(r:p10udm15241-p10udm15243);(-122.86486062795612,38.60954064449039) l(r:p10udm15239-p10udm15241);(-122.86474577242083,38.609540511795544) l(r:p10udm15239-p10udt4646lv);(-122.86463091688634,38.60954037898797) l(r:p10udm15240-p10ulv15167);(-122.86440120581959,38.60954011303463);(-122.86441294578059,38.6094049473037) l(r:p10udm15245-p10ulv15435);(-122.86417149475588,38.60953984663039);(-122.8641717666068,38.60939565565645) l(r:p10udm15240-p10udm15245);(-122.86428635028736,38.60953997988887);(-122.86417149475588,38.60953984663039) l(r:p10udm15240-p10udt4646lv);(-122.86440120581959,38.60954011303463) l(r:p10udt4647lv-p10ulv15177);(-122.86106759763112,38.61098712732843);(-122.86108996099493,38.61130257222338) l(r:p10udt4647lv-p10ulv15251);(-122.86106759763112,38.61098712732843);(-122.86104507801608,38.610752789807464) l(r:p10udt4647lv-p10ulv15293);(-122.86106759763112,38.61098712732843);(-122.86111328014734,38.61112236084963) l(r:p10udt4647lv-p10ulv15301);(-122.86106759763112,38.61098712732843);(-122.86102139371609,38.61112225175663) l(r:p10udm15246-p10ulv15064);(-122.86129731327975,38.61098739983414);(-122.86132056241654,38.61084323613897) l(r:p10udm15246-p10udt4647lv);(-122.86129731327975,38.61098739983414) l(r:p10udt4649lv-p10ulv15198);(-122.86249462128373,38.60952887628366);(-122.86251785034831,38.609393724244654) l(r:p10udm15268-p10ulv15066);(-122.86260947678967,38.60952901118796);(-122.86258674633368,38.609402817122216) l(r:p10udm15268-p10ulv15102);(-122.86260947678967,38.60952901118796);(-122.8626201890214,38.609934561741795) l(r:p10udm15268-p10ulv15376);(-122.86260947678967,38.60952901118796);(-122.86259871310999,38.60915049641255) l(r:p10udm15270-p10ulv15083);(-122.8627128467457,38.60952913250544);(-122.86272400603156,38.60970037274644) l(r:p10udm15270-p10ulv15134);(-122.8627128467457,38.60952913250544);(-122.86272407471911,38.609664325006435) l(r:p10udm15273-p10ulv15159);(-122.86282768509425,38.60953827913081);(-122.86281643978515,38.60941209857196) l(r:p10udm15270-p10udm15273);(-122.86282768509425,38.60953827913081) l(r:p10udm15268-p10udm15270);(-122.8627128467457,38.60952913250544) l(r:p10udm15268-p10udt4649lv);(-122.86260947678967,38.60952901118796) l(r:p10udm15269-p10ulv15071);(-122.86237976577854,38.60952874126663);(-122.86233439185258,38.60923129336285) l(r:p10udm15269-p10ulv15324);(-122.86237976577854,38.60952874126663);(-122.86237950755473,38.6096639202916) l(r:p10udm15277-p10ulv15312);(-122.86227639582454,38.60952861965493);(-122.86229852289506,38.609970231489484) l(r:p10udm15274-p10ulv15168);(-122.86216154032081,38.60952848442371);(-122.86213889687441,38.6093572305962) l(r:p10udm15274-p10ulv15356);(-122.86216154032081,38.60952848442371);(-122.86213827605347,38.60968166025713) l(r:p10udm15276-p10ulv15216);(-122.86204668481787,38.609528349079774);(-122.86203499217149,38.60963647875784) l(r:p10udm15274-p10udm15276);(-122.86204668481787,38.609528349079774) l(r:p10udm15274-p10udm15277);(-122.86216154032081,38.60952848442371) l(r:p10udm15269-p10udm15277);(-122.86227639582454,38.60952861965493) l(r:p10udm15269-p10udt4649lv);(-122.86237976577854,38.60952874126663) l(r:p10udt4650lv-p10ulv15223);(-122.8632713114864,38.61180981063039);(-122.86307611945611,38.611773534591535) l(r:p10udt4650lv-p10ulv15265);(-122.8632713114864,38.61180981063039);(-122.86340922789935,38.61176491193519) l(r:p10udt4650lv-p10ulv15386);(-122.8632713114864,38.61180981063039);(-122.86362735778208,38.611819238056185) l(r:p10udt4650lv-p10ulv21647);(-122.8632713114864,38.61180981063039);(-122.86376529103535,38.61176532700888) l(r:p10udt4650lv-p10ulv21693);(-122.8632713114864,38.61180981063039);(-122.86376515469182,38.6118374224658) l(r:p10udm15281-p10ulv15067);(-122.86327192725186,38.61148538107238);(-122.8635131132993,38.611494674583504) l(r:p10udm15281-p10ulv15244);(-122.86327192725186,38.61148538107238);(-122.86314558276088,38.61148523338039) l(r:p10udm15281-p10ulv15319);(-122.86327192725186,38.61148538107238);(-122.86370833889644,38.61151292602914) l(r:p10udm15290-p10ulv15340);(-122.86327161937038,38.61164759585364);(-122.8639378519929,38.61162133654449) l(r:p10udm15290-p10ulv15366);(-122.86327161937038,38.61164759585364);(-122.86334051760687,38.61165668828806) l(r:p10udm15281-p10udm15290);(-122.86327161937038,38.61164759585364) l(r:p10udm15296-p10ulv15455);(-122.86327254300743,38.61116095149631);(-122.8631347303958,38.611151778440004) l(r:p10udm15281-p10udm15296);(-122.86327223513088,38.61132316628659);(-122.86327254300743,38.61116095149631) l(r:p10udm15299-p10ulv21662);(-122.86327223513088,38.61132316628659);(-122.86335261889577,38.61133227213354) l(r:p10udm15281-p10udm15299);(-122.86326074929389,38.61132315286577) l(r:p10udm15281-p10udt4650lv);(-122.86326013348165,38.61164758243266);(-122.86327192725186,38.61148538107238) l(r:p10udm15282-p10ulv15105);(-122.86327100359995,38.61197202540264);(-122.86336292531053,38.611954108867735) l(r:p10udm15282-p10ulv15121);(-122.86327100359995,38.61197202540264);(-122.86267376906603,38.61195330214555) l(r:p10udm15282-p10ulv15274);(-122.86327100359995,38.61197202540264);(-122.86311016618438,38.61198986126728) l(r:p10udm15282-p10ulv15433);(-122.86327100359995,38.61197202540264);(-122.86356967218927,38.61195435009298) l(r:p10udm15282-p10ulv21654);(-122.86327100359995,38.61197202540264);(-122.86374189307291,38.61199059856233) l(r:p10udm15288-p10ulv15311);(-122.86327069571101,38.61213424017036);(-122.8629605746233,38.612133877401796) l(r:p10udm15288-p10ulv15348);(-122.86327069571101,38.61213424017036);(-122.86335114876023,38.612107298292926) l(r:p10udm15288-p10ulv15437);(-122.86327069571101,38.61213424017036);(-122.86336256634827,38.61214335943096) l(r:p10udm15282-p10udm15288);(-122.86327069571101,38.61213424017036) l(r:p10udm15282-p10udt4650lv);(-122.86327100359995,38.61197202540264) l(r:p10udt4652lv-p10ulv15080);(-122.8632742533875,38.610259758134774);(-122.8628952263657,38.61025931466891) l(r:p10udm15317-p10ulv15236);(-122.86327409945643,38.61034086554301);(-122.86268832976677,38.610340179667254) l(r:p10udm15317-p10ulv15308);(-122.86327409945643,38.61034086554301);(-122.86302139735471,38.61034958196874) l(r:p10udm15311-p10ulv15126);(-122.86327394552474,38.610421972950114);(-122.8633313739914,38.61042204003521) l(r:p10udm15311-p10ulv15266);(-122.86327394552474,38.610421972950114);(-122.86274555213132,38.61044839024648) l(r:p10udm15316-p10ulv15222);(-122.86327379159243,38.610503080356104);(-122.86306698036321,38.610538886351065) l(r:p10udm15316-p10ulv15368);(-122.86327379159243,38.610503080356104);(-122.86333122012375,38.61050314744138) l(r:p10udm15313-p10ulv15149);(-122.86327332979177,38.61074640256729);(-122.86333080980695,38.61071943385234) l(r:p10udm15323-p10ulv15415);(-122.86327317585697,38.610827509968765);(-122.86314681540004,38.61083637421361) l(r:p10udm15313-p10udm15323);(-122.86327317585697,38.610827509968765) l(r:p10udm15313-p10udm15316);(-122.86327363765949,38.61058418776096);(-122.86327348372593,38.61066529516469);(-122.86327332979177,38.61074640256729) l(r:p10udm15311-p10udm15316);(-122.86327379159243,38.610503080356104) l(r:p10udm15311-p10udm15317);(-122.86327394552474,38.610421972950114) l(r:p10udm15317-p10udt4652lv);(-122.86327409945643,38.61034086554301) l(r:p10udm15322-p10ulv15398);(-122.86327440731797,38.61017865072539);(-122.8626427650602,38.610141863198834) l(r:p10udm15309-p10ulv15076);(-122.86327456124782,38.61009754331491);(-122.8627577073839,38.61009693828784) l(r:p10udm15319-p10ulv15275);(-122.86327471517701,38.610016435903276);(-122.86310239649842,38.61003425835104) l(r:p10udm15308-p10ulv15069);(-122.86327486910561,38.60993532849052);(-122.86334379989468,38.609926397053016) l(r:p10udm15308-p10ulv15185);(-122.86327486910561,38.60993532849052);(-122.86311408760939,38.60992612857085) l(r:p10udm15312-p10ulv15140);(-122.8632750230336,38.609854221076645);(-122.86360807139519,38.609872633640094) l(r:p10udm15312-p10ulv15218);(-122.8632750230336,38.609854221076645);(-122.86289592954336,38.609889825356255) l(r:p10udm15308-p10udm15312);(-122.8632750230336,38.609854221076645) l(r:p10udm15308-p10udm15319);(-122.86327486910561,38.60993532849052) l(r:p10udm15309-p10udm15319);(-122.86327471517701,38.610016435903276) l(r:p10udm15309-p10udm15322);(-122.86327456124782,38.61009754331491) l(r:p10udm15322-p10udt4652lv);(-122.86327440731797,38.61017865072539) l(r:p10udt4654lv-p10ulv15088);(-122.86414950926665,38.60901712766816);(-122.86418393169825,38.609035191536684) l(r:p10udt4654lv-p10ulv15404);(-122.86414950926665,38.60901712766816);(-122.86414928835475,38.609134282842255) l(r:p10udm15327-p10ulv15072);(-122.86391981689478,38.60900784883639);(-122.86387413043599,38.608872616354624) l(r:p10udm15327-p10ulv15447);(-122.86391981689478,38.60900784883639);(-122.86387394313266,38.608971747657236) l(r:p10udm15327-p10ulv15456);(-122.86391981689478,38.60900784883639);(-122.86390826333319,38.60904388322562) l(r:p10udm15327-p10udt4654lv);(-122.86391981689478,38.60900784883639) l(r:p10udm15329-p10ulv15296);(-122.86436773319359,38.60901738080105);(-122.86436817430388,38.60878307044369) l(r:p10udm15329-p10udt4654lv);(-122.86436773319359,38.60901738080105) l(r:p10udt4656lv-p10ulv21671);(-122.86437550326872,38.610991007903756);(-122.86436294851406,38.6115587463799) l(r:p10udt4656lv-p10ulv21706);(-122.86437550326872,38.610991007903756);(-122.86437514697889,38.61118025850618) l(r:p10udt4656lv-p10ulv21725);(-122.86437550326872,38.610991007903756);(-122.86437489248408,38.611315437504146) l(r:p10udt4656lv-p10ulv21731);(-122.86437550326872,38.610991007903756);(-122.86436279580158,38.61163985377375) l(r:p10udm15339-p10ulv15118);(-122.86459373317685,38.610991260626015);(-122.86462700509789,38.61162213581641) l(r:p10udm15339-p10ulv15243);(-122.86459373317685,38.610991260626015);(-122.86455898778871,38.611144423619834) l(r:p10udm15339-p10ulv15288);(-122.86459373317685,38.610991260626015);(-122.86463938845269,38.6111445166496) l(r:p10udm15343-p10ulv15245);(-122.86482344887256,38.61099152620978);(-122.86477664301675,38.611451081731936) l(r:p10udm15343-p10ulv15387);(-122.86482344887256,38.61099152620978);(-122.86480013904249,38.611171738343955) l(r:p10udm15338-p10ulv15074);(-122.86504167878624,38.610991778096654);(-122.86501833573031,38.611190014142366) l(r:p10udm15338-p10udm15343);(-122.86504167878624,38.610991778096654) l(r:p10udm15339-p10udm15343);(-122.86482344887256,38.61099152620978) l(r:p10udm15339-p10udt4656lv);(-122.86459373317685,38.610991260626015) l(r:p10udm15340-p10ulv15182);(-122.86415727336338,38.61099075477453);(-122.8641804827989,38.610864614368204) l(r:p10udm15340-p10ulv15345);(-122.86415727336338,38.61099075477453);(-122.86414517575979,38.61131517103799) l(r:p10udm15340-p10ulv21649);(-122.86415727336338,38.61099075477453);(-122.8641454816706,38.611152956241575) l(r:p10udm15341-p10ulv15215);(-122.86393904346075,38.61099050123832);(-122.86396170870715,38.6111527427452) l(r:p10udm15340-p10udm15341);(-122.86393904346075,38.61099050123832) l(r:p10udm15340-p10udt4656lv);(-122.86415727336338,38.61099075477453) l(r:p10udm15355-p10ulv15152);(-122.86477887588937,38.610261506450136);(-122.86481355273571,38.61014439110663) l(r:p10udm15355-p10ulv15229);(-122.86477887588937,38.610261506450136);(-122.86481338362738,38.610234510456586) l(r:p10udm15355-p10ulv15263);(-122.86477887588937,38.610261506450136);(-122.86476798229864,38.609946075448605) l(r:p10udm15355-p10ulv21709);(-122.86477887588937,38.610261506450136);(-122.86477846992233,38.610477792883046) l(r:p10udm15356-p10ulv15161);(-122.86456064819018,38.61026125407715);(-122.864526123398,38.61029726193059) l(r:p10udm15352-p10ulv15077);(-122.86435390616188,38.61026101461155);(-122.86430742031386,38.61054934325056) l(r:p10udm15352-p10ulv15172);(-122.86435390616188,38.61026101461155);(-122.86435378738315,38.610324098154216) l(r:p10udm15352-p10udm15356);(-122.86435390616188,38.61026101461155) l(r:p10udm15355-p10udm15356);(-122.86456064819018,38.61026125407715) l(r:p10udm15355-p10udt4657lv);(-122.86477887588937,38.610261506450136) l(r:p10udm15358-p10ulv15217);(-122.86521533129591,38.61026200997523);(-122.86519229250449,38.61029803125448) l(r:p10udm15358-p10ulv15369);(-122.86521533129591,38.61026200997523);(-122.86527323153256,38.610009741920365) l(r:p10udm15358-p10ulv15383);(-122.86521533129591,38.61026200997523);(-122.86524987258356,38.61021698998247) l(r:p10udm15353-p10ulv15114);(-122.86543355900329,38.610262261127325);(-122.86537589489137,38.610388362164045) l(r:p10udm15353-p10ulv15116);(-122.86543355900329,38.610262261127325);(-122.86538770052302,38.610217148611355) l(r:p10udm15353-p10udm15358);(-122.86543355900329,38.610262261127325) l(r:p10udm15358-p10udt4657lv);(-122.86521533129591,38.61026200997523) l(r:p10udt4659lv-p10ulv15130);(-122.86151724085097,38.610104488842914);(-122.86156299292695,38.610203674474654) l(r:p10udt4659lv-p10ulv15419);(-122.86151724085097,38.610104488842914);(-122.86159767497021,38.6100865600926) l(r:p10udm15377-p10ulv15079);(-122.86129901365719,38.6101042303883);(-122.86137981197538,38.60989705117391) l(r:p10udm15377-p10ulv15193);(-122.86129901365719,38.6101042303883);(-122.86128744125615,38.610149276442975) l(r:p10udm15377-p10ulv15444);(-122.86129901365719,38.6101042303883);(-122.86134453994993,38.6103205712413) l(r:p10udm15383-p10ulv15339);(-122.86087404491944,38.610103725914044);(-122.86088551315873,38.61011275150241) l(r:p10udm15378-p10ulv15115);(-122.86065581773396,38.61010346625997);(-122.86064427979574,38.6101304883833) l(r:p10udm15378-p10udm15383);(-122.86065581773396,38.61010346625997) l(r:p10udm15377-p10udm15383);(-122.86109227210778,38.61010398516117);(-122.86087404491944,38.610103725914044) l(r:p10udm15377-p10udt4659lv);(-122.86129901365719,38.6101042303883) l(r:p10udm15381-p10ulv15239);(-122.86173546804753,38.610104746890556);(-122.86189628431198,38.610095924836486) l(r:p10udm15382-p10ulv15304);(-122.86173562370955,38.610023639484275);(-122.86190785632381,38.61005087872048) l(r:p10udm15385-p10ulv15428);(-122.86173577937093,38.60994253207688);(-122.86211480470767,38.60994297929508) l(r:p10udm15382-p10udm15385);(-122.86173577937093,38.60994253207688) l(r:p10udm15381-p10udm15382);(-122.86173562370955,38.610023639484275) l(r:p10udm15381-p10udt4659lv);(-122.86173546804753,38.610104746890556) l(r:p10udt4665lv-p10ulv15384);(-122.86383841371892,38.60953945954327);(-122.8638272518077,38.609368219399016) l(r:p10udm15408-p10ulv15141);(-122.86395326924817,38.60953959312869);(-122.86389613091117,38.60938632344189) l(r:p10udm15408-p10ulv15278);(-122.86395326924817,38.60953959312869);(-122.86396444850105,38.60970182132107) l(r:p10udm15407-p10ulv15093);(-122.86406812477821,38.60953972660136);(-122.86407930428976,38.60970195478324) l(r:p10udm15407-p10udm15408);(-122.86406812477821,38.60953972660136) l(r:p10udm15408-p10udt4665lv);(-122.86395326924817,38.60953959312869) l(r:p10udm15411-p10ulv15357);(-122.8637235581904,38.60953932584515);(-122.86375774216847,38.609683556934336) l(r:p10udm15411-p10ulv15362);(-122.8637235581904,38.60953932584515);(-122.8637575206142,38.609800712093126) l(r:p10udm15409-p10ulv15201);(-122.86360870266265,38.60953919203427);(-122.86362047822931,38.60938600251399) l(r:p10udm15409-p10udm15411);(-122.86360870266265,38.60953919203427) l(r:p10udm15411-p10udt4665lv);(-122.8637235581904,38.60953932584515) l(r:p10udt4668lv-p10ulv15307);(-122.86174627920298,38.6104562258751);(-122.86194158788149,38.61042942059803) l(r:p10udm15422-p10ulv15098);(-122.86173496646572,38.610366092969805);(-122.86190723444706,38.61037530833968) l(r:p10udm15422-p10ulv15370);(-122.86173496646572,38.610366092969805);(-122.8615971382623,38.610365930038164) l(r:p10udm15422-p10udt4668lv);(-122.86173496646572,38.610366092969805) l(r:p10udm15426-p10ulv15388);(-122.86174610625582,38.61054634520871);(-122.86158537554226,38.61051010738754) l(r:p10udm15423-p10ulv15268);(-122.86174593330786,38.61063646454093);(-122.86156210972693,38.6106632830778) l(r:p10udm15423-p10udm15426);(-122.86174593330786,38.61063646454093) l(r:p10udm15426-p10udt4668lv);(-122.86174610625582,38.61054634520871) l(r:p10udt4669lv-p10ulv15442);(-122.86524062892863,38.60901838926311);(-122.86521753997054,38.60908144636639) l(r:p10udm15428-p10ulv15146);(-122.86502240499077,38.60901813775801);(-122.86506824560261,38.609072262361416) l(r:p10udm15428-p10ulv15349);(-122.86502240499077,38.60901813775801);(-122.86498835389678,38.60880181152059) l(r:p10udm15427-p10ulv15100);(-122.86480418105565,38.609017885845965);(-122.86479269558546,38.60901787257617) l(r:p10udm15427-p10ulv15191);(-122.86480418105565,38.609017885845965);(-122.8648390263247,38.60881065109819) l(r:p10udm15432-p10ulv15292);(-122.86458595712325,38.60901763352699);(-122.86458616038568,38.60890949028533) l(r:p10udm15432-p10ulv15458);(-122.86458595712325,38.60901763352699);(-122.86456307089033,38.608972547259675) l(r:p10udm15427-p10udm15432);(-122.86458595712325,38.60901763352699) l(r:p10udm15427-p10udm15428);(-122.86480418105565,38.609017885845965) l(r:p10udm15428-p10udt4669lv);(-122.86502240499077,38.60901813775801) l(r:p10udm15429-p10ulv15186);(-122.8654588528692,38.60901864036125);(-122.86547020371592,38.609090749061615) l(r:p10udm15429-p10ulv15402);(-122.8654588528692,38.60901864036125);(-122.86543599975363,38.60895553038945) l(r:p10udm15431-p10ulv15250);(-122.86589530075848,38.60901914133673);(-122.86596409622065,38.60908230384958) l(r:p10udm15433-p10ulv15313);(-122.86611352470719,38.60901939121404);(-122.86607900128674,38.60905539953575) l(r:p10udm15431-p10udm15433);(-122.86611352470719,38.60901939121404) l(r:p10udm15429-p10udm15431);(-122.86567707681247,38.60901889105247);(-122.86589530075848,38.60901914133673) l(r:p10udm15434-p10ulv15325);(-122.86567707681247,38.60901889105247);(-122.86563125258418,38.6089557547491) l(r:p10udm15429-p10udm15434);(-122.86567709361458,38.609009879115334) l(r:p10udm15429-p10udt4669lv);(-122.8654588528692,38.60901864036125) l(r:p10udt4671lv-p10ulv15106);(-122.86369922308855,38.61026025389418);(-122.86369963229252,38.61004396746288) l(r:p10udt4671lv-p10ulv15306);(-122.86369922308855,38.61026025389418);(-122.86365338275355,38.610206128765995) l(r:p10udm15445-p10ulv15145);(-122.8639174507769,38.6102605078736);(-122.86390584593806,38.610323578057894) l(r:p10udm15445-p10ulv16542);(-122.8639174507769,38.6102605078736);(-122.86396356362023,38.61017044194471) l(r:p10udm15445-p10udt4671lv);(-122.8639174507769,38.6102605078736) l(r:p10udm15447-p10ulv15334);(-122.86348099540292,38.610259999507804);(-122.86345795574597,38.61029602044402) l(r:p10udm15447-p10ulv15361);(-122.86348099540292,38.610259999507804);(-122.86351579386914,38.61007980101029) l(r:p10udm15447-p10ulv16136);(-122.86348099540292,38.610259999507804);(-122.8634695951302,38.61021492643566) l(r:p10udm15447-p10udt4671lv);(-122.86348099540292,38.610259999507804) l(r:p10udt4672lv-p10ulv15151);(-122.86261817831944,38.61098895799085);(-122.8626873850332,38.61083583601435) l(r:p10udt4672lv-p10ulv15203);(-122.86261817831944,38.61098895799085);(-122.86260640034959,38.61114214736378) l(r:p10udt4672lv-p10ulv15234);(-122.86261817831944,38.61098895799085);(-122.86259604899513,38.610547346289614) l(r:p10udt4672lv-p10ulv15344);(-122.86261817831944,38.61098895799085);(-122.86260581596999,38.61144855306742) l(r:p10udt4672lv-p10ulv15396);(-122.86261817831944,38.61098895799085);(-122.86260725971519,38.61069155071152) l(r:p10udt4672lv-p10ulv15403);(-122.86261817831944,38.61098895799085);(-122.86260738002483,38.610628467177435) l(r:p10udm15459-p10ulv15429);(-122.86239994843628,38.61098870158441);(-122.86244616688818,38.61084456467077) l(r:p10udm15459-p10ulv15449);(-122.86239994843628,38.61098870158441);(-122.86244561624854,38.61113294652271) l(r:p10udm15451-p10ulv15109);(-122.86218171855589,38.61098844477099);(-122.86222740316568,38.6111236778611) l(r:p10udm15451-p10udm15459);(-122.86218171855589,38.61098844477099) l(r:p10udm15459-p10udt4672lv);(-122.86239994843628,38.61098870158441) l(r:p10udm15461-p10ulv21691);(-122.86283640820535,38.610989213990315);(-122.86279017327561,38.61114236298856) l(r:p10udm15455-p10ulv15322);(-122.86327223513088,38.61132316628659);(-122.86301956385473,38.61131385883534) l(r:p10udm15455-p10udm15461);(-122.86305463809406,38.610989469582805);(-122.86327286798551,38.610989724768324);(-122.86328402881854,38.61116096491594);(-122.86329520680489,38.611323193124875) l(r:p10udm15461-p10udt4672lv);(-122.86283640820535,38.610989213990315) l(r:p10udt4674lv-p10ulv15426);(-122.86168034106784,38.60890609168466);(-122.86155401842643,38.60889693034685) l(r:p10udm15466-p10ulv15147);(-122.86169165351303,38.608996224617215);(-122.86120915981344,38.60904972509737) l(r:p10udm15466-p10ulv15153);(-122.86169165351303,38.608996224617215);(-122.8621165812377,38.60901474998187) l(r:p10udm15466-p10ulv15299);(-122.86169165351303,38.608996224617215);(-122.8612207494442,38.60899566710624) l(r:p10udm15473-p10ulv15273);(-122.86169148050656,38.60908634397317);(-122.8618407571782,38.60910454422098) l(r:p10udm15473-p10ulv15315);(-122.86169148050656,38.60908634397317);(-122.86155365475169,38.60908618099484) l(r:p10udm15479-p10ulv15454);(-122.8617027929933,38.609176476901965);(-122.86140410080532,38.60921217134627) l(r:p10udm15464-p10ulv15111);(-122.86170263729905,38.609257584319906);(-122.86153035469606,38.6092573805873) l(r:p10udm15464-p10udm15479);(-122.86170263729905,38.609257584319906) l(r:p10udm15473-p10udm15479);(-122.8617027929933,38.609176476901965) l(r:p10udm15466-p10udm15473);(-122.86169148050656,38.60908634397317) l(r:p10udm15466-p10udt4674lv);(-122.86169165351303,38.608996224617215) l(r:p10udm15472-p10ulv15255);(-122.8616919822232,38.6088249978371);(-122.86233508066066,38.608870815929926) l(r:p10udm15467-p10ulv15150);(-122.86169213792704,38.60874389041318);(-122.86210564773029,38.6087263544958) l(r:p10udm15467-p10ulv15171);(-122.86169213792704,38.60874389041318);(-122.86191037827702,38.60873513619093) l(r:p10udm15467-p10ulv15211);(-122.86169213792704,38.60874389041318);(-122.86154291399761,38.60869865416798) l(r:p10udm15467-p10ulv15320);(-122.86169213792704,38.60874389041318);(-122.86236974358569,38.60876271322506) l(r:p10udm15469-p10ulv15156);(-122.86171543742856,38.60857269077243);(-122.86194504177303,38.608627033610425) l(r:p10udm15465-p10ulv15137);(-122.8617387195238,38.60841050305838);(-122.86239328245968,38.60846534633383) l(r:p10udm15465-p10ulv15341);(-122.8617387195238,38.60841050305838);(-122.86196835790078,38.60844682197809) l(r:p10udm15465-p10udm15469);(-122.86172707848942,38.60849159691658);(-122.8617387195238,38.60841050305838) l(r:p10udm15467-p10udm15469);(-122.86170377904234,38.60866279656213);(-122.86171543742856,38.60857269077243) l(r:p10udm15467-p10udm15472);(-122.86169213792704,38.60874389041318) l(r:p10udm15472-p10udt4674lv);(-122.8616919822232,38.6088249978371) l(r:p10udm15532-p10ulv15199);(-122.86530854770234,38.60955017285932);(-122.8653197637034,38.609694377055604) l(r:p10udm15531-p10ulv15139);(-122.86507885347518,38.60954089630001);(-122.86512509937577,38.60937873440842) l(r:p10udm15531-p10ulv15441);(-122.86507885347518,38.60954089630001);(-122.86505559540473,38.609694072724395) l(r:p10udm15531-p10udm15532);(-122.86519370901264,38.60954102866795);(-122.86507885347518,38.60954089630001) l(r:p10udm15532-p10udt4682lv);(-122.86530854770234,38.60955017285932) l(r:p10udm15535-p10ulv16103);(-122.86553825880975,38.609550437031615);(-122.86550328059297,38.60982976745124) l(r:p10udm15534-p10ulv15997);(-122.86564162880904,38.60955055576204);(-122.86568720140505,38.60974887109718) l(r:p10udm15536-p10ulv16514);(-122.86575648436457,38.60955068757763);(-122.86576768447127,38.6097039036687) l(r:p10udm15534-p10udm15536);(-122.86575648436457,38.60955068757763) l(r:p10udm15534-p10udm15535);(-122.86564162880904,38.60955055576204) l(r:p10udm15535-p10udt4682lv);(-122.86553825880975,38.609550437031615) l(r:p10udt4685lv-p10ulv15154);(-122.86176200151311,38.608248315335025);(-122.86192269273113,38.60830257679207) l(r:p10udt4688lv-p10ulv15170);(-122.86118526857041,38.60952733040698);(-122.86116257534454,38.6093831121995) l(r:p10udm15548-p10ulv15413);(-122.86130012406755,38.60952746659638);(-122.86131134938928,38.60966265922803) l(r:p10udm15547-p10ulv15238);(-122.86140349401563,38.60952758907047);(-122.8613802974972,38.60964471701214) l(r:p10udm15547-p10udm15548);(-122.86140349401563,38.60952758907047) l(r:p10udm15548-p10udt4688lv);(-122.86130012406755,38.60952746659638) l(r:p10udm15549-p10ulv15422);(-122.86087515873199,38.60952696213248);(-122.86088692270663,38.60938278483255) l(r:p10udm15549-p10udt4688lv);(-122.86108189862362,38.609527207740115);(-122.86097852867749,38.609527084981956);(-122.86087515873199,38.60952696213248) l(r:p10udt4692lv-p10ulv15188);(-122.86370932777672,38.61099023391845);(-122.8637555776985,38.610828072615675) l(r:p10udt4692lv-p10ulv15289);(-122.86370932777672,38.61099023391845);(-122.86370896973682,38.61117948451566) l(r:p10udt4692lv-p10ulv15395);(-122.86370932777672,38.61099023391845);(-122.86369814890715,38.61082800573819) l(r:p10udt4693lv-p10ulv15192);(-122.86327161937038,38.61164759585364);(-122.8628810648513,38.611665162771715) l(r:p10udt4693lv-p10ulv15300);(-122.86327161937038,38.61164759585364);(-122.86311085117842,38.61162938399301) l(r:p10udm15566-p10ulv15210);(-122.86172493374261,38.609609076930155);(-122.86162149443712,38.609645002478445) l(r:p10udm15567-p10ulv15285);(-122.8617137768452,38.60943783659565);(-122.86186317520661,38.60939295326981) l(r:p10udm15566-p10udm15567);(-122.86171360386369,38.609527955944856);(-122.8617137768452,38.60943783659565) l(r:p10udm15566-p10udt4694lv);(-122.86172493374261,38.609609076930155) l(r:p10udm15569-p10ulv15416);(-122.86172460510153,38.609780303687515);(-122.86162121747955,38.60978919343082) l(r:p10udm15569-p10ulv15440);(-122.86172460510153,38.609780303687515);(-122.86151786447797,38.609780059213996) l(r:p10udm15568-p10ulv15363);(-122.86172444942846,38.60986141109714);(-122.86160955877406,38.609879299191775) l(r:p10udm15568-p10udm15569);(-122.86172444942846,38.60986141109714) l(r:p10udm15569-p10udt4694lv);(-122.86172460510153,38.609780303687515) l(r:p10udt4697lv-p10ulv15270);(-122.86045019340708,38.609526456125316);(-122.86020946900605,38.609282846014956) l(r:p10udt4697lv-p10ulv15280);(-122.86045019340708,38.609526456125316);(-122.86037096478674,38.60892256058863) l(r:p10udm15575-p10ulv15224);(-122.86055356334998,38.60952657935018);(-122.8605540692024,38.60926523324643) l(r:p10udm15575-p10ulv21724);(-122.86055356334998,38.60952657935018);(-122.86057724949943,38.60915711739822) l(r:p10udm15575-p10udt4697lv);(-122.86055356334998,38.60952657935018) l(r:p10udm15579-p10ulv15228);(-122.86621590659409,38.60955121371272);(-122.86625058076035,38.609434097924286) l(r:p10udm15579-p10ulv15434);(-122.86621590659409,38.60955121371272);(-122.86618173449392,38.609397971392845) l(r:p10udm15581-p10ulv15392);(-122.86587133992082,38.6095508192805);(-122.86590608174149,38.60939765584879) l(r:p10udm15579-p10udm15581);(-122.8661010510356,38.60955108234805);(-122.86598619547783,38.60955095087065);(-122.86587133992082,38.6095508192805) l(r:p10udm15579-p10udt4698lv);(-122.86621590659409,38.60955121371272) l(r:p10udm15583-p10ulv16215);(-122.8665602896626,38.60965073843242);(-122.86639937467156,38.6097136385177) l(r:p10udm15580-p10ulv15389);(-122.86654947182204,38.60929024786489);(-122.86638869133385,38.60928105244519) l(r:p10udm15580-p10ulv16477);(-122.86654947182204,38.60929024786489);(-122.86672178788262,38.60927242033414) l(r:p10udm15580-p10udm15583);(-122.86656038981445,38.60959666681333);(-122.866548987718,38.609551594032794);(-122.86654915465115,38.60946147466588);(-122.86654932158352,38.60937135529756);(-122.86654947182204,38.60929024786489) l(r:p10udm15583-p10udt4698lv);(-122.86643413215732,38.60955146299505);(-122.86654900441137,38.60954258209617);(-122.86657165560915,38.60959491226932);(-122.8665602896626,38.60965073843242) l(r:p10udt4701lv-p10ulv15343);(-122.86049261044701,38.61134692136549);(-122.86029728127171,38.611382736145735) l(r:p10udm15601-p10ulv15260);(-122.86049278496724,38.61125680204902);(-122.86034343430065,38.61127464780729) l(r:p10udm15601-p10ulv15358);(-122.86049278496724,38.61125680204902);(-122.8606190941711,38.61127497646795) l(r:p10udm15601-p10udt4701lv);(-122.86049278496724,38.61125680204902) l(r:p10udt4708lv-p10ulv15335);(-122.86327531378475,38.609701018180694);(-122.86312603550977,38.609682819761765) l(r:p10udm15619-p10ulv15374);(-122.86327546771093,38.609619910763556);(-122.86336730096058,38.60964705388904) l(r:p10udm15619-p10udt4708lv);(-122.86327546771093,38.609619910763556) l(r:p10udt4711lv-p10ulv15412);(-122.8663432341298,38.60901965380316);(-122.86628580677386,38.60901958819815) l(r:p10udm15825-p10ulv21679);(-122.87092458147855,38.609781801054964);(-122.87086716965784,38.60977272575933) l(r:p10udm15823-p10ulv16155);(-122.87120038076097,38.60970099733804);(-122.87120052576506,38.60961988989482) l(r:p10udm15823-p10udm15825);(-122.87102804863136,38.60972784340043);(-122.87120038076097,38.60970099733804) l(r:p10udm15825-p10udt4779lv);(-122.87092458147855,38.609781801054964) l(r:p10udm15826-p10ulv21732);(-122.87099309160728,38.61000717548958);(-122.87093567959835,38.60999810022757) l(r:p10udm15822-p10ulv15619);(-122.87101585311545,38.61012435599821);(-122.87105037458632,38.61008834622321) l(r:p10udm15824-p10ulv21670);(-122.87046463917223,38.61006967540223);(-122.8706369561452,38.610051842110245) l(r:p10udm15822-p10udm15824);(-122.87105010036535,38.610241549157315);(-122.87094669706478,38.610259459077);(-122.87084327755646,38.610286380842204);(-122.87075135981866,38.61030430326524);(-122.87064795635445,38.61032221292045);(-122.87054455283908,38.61034012248414);(-122.87049885309996,38.610204892596265);(-122.87046463917223,38.61006967540223) l(r:p10udm15822-p10udm15826);(-122.87101585311545,38.61012435599821) l(r:p10udm15826-p10udt4779lv);(-122.87099309160728,38.61000717548958) l(r:p10udt4794lv-p10ulv15637);(-122.87248785062698,38.60908959428069);(-122.87240754799238,38.609035435010355) l(r:p10udm16015-p10ulv15694);(-122.87134063791189,38.60834034614195);(-122.87140943751058,38.6084035054681) l(r:p10udm16015-p10udt4825lv);(-122.87134063791189,38.60834034614195) l(r:p10udm16016-p10ulv21676);(-122.87128354919383,38.60815103224301);(-122.87131798910289,38.608160082077625) l(r:p10udm16016-p10udt4825lv);(-122.87132937787186,38.608214166349455);(-122.87128354919383,38.60815103224301) l(r:p10udt4905lv-p10ulv15987);(-122.86793872354164,38.609562182592484);(-122.86838684142431,38.60946355593221) l(r:p10udm16282-p10ulv15969);(-122.86791590112598,38.609481049232215);(-122.86808825031228,38.60944519581549) l(r:p10udm16282-p10udt4905lv);(-122.86791590112598,38.609481049232215) l(r:p10udm16285-p10ulv16031);(-122.86741040444684,38.60955257322278);(-122.86745657879074,38.609426458149414) l(r:p10udm16287-p10ulv16271);(-122.86729554888056,38.60955244303048);(-122.86730728341425,38.609417276999736) l(r:p10udm16285-p10udm16287);(-122.86729554888056,38.60955244303048) l(r:p10udm16285-p10udt4905lv);(-122.86783535351599,38.60956206589114);(-122.86772051447943,38.60955292417888);(-122.86761714446797,38.60955280728482);(-122.86751377445711,38.60955269029945);(-122.86741040444684,38.60955257322278) l(r:p10udm16286-p10ulv16202);(-122.86796156252518,38.609634304010235);(-122.86809945536287,38.609598411694954) l(r:p10udm16288-p10ulv16333);(-122.86798440155422,38.60970642542273);(-122.86813381308492,38.60965252215033) l(r:p10udm16283-p10ulv15986);(-122.86800722411773,38.609787558766755);(-122.86786938045712,38.60979641516134) l(r:p10udm16283-p10udm16288);(-122.86800722411773,38.609787558766755) l(r:p10udm16286-p10udm16288);(-122.86798440155422,38.60970642542273) l(r:p10udm16286-p10udt4905lv);(-122.86796156252518,38.609634304010235) l(r:p10udt4907lv-p10ulv15971);(-122.86718167354768,38.6090206084269);(-122.86721606352458,38.60905669528014) l(r:p10udm16295-p10ulv16092);(-122.86697493505739,38.60902037359822);(-122.86703229588524,38.60905648661541) l(r:p10udm16299-p10ulv16394);(-122.86676819656951,38.609020138404304);(-122.86674509227845,38.60909220774878) l(r:p10udm16299-p10ulv16398);(-122.86676819656951,38.609020138404304);(-122.86671090259433,38.608947977507384) l(r:p10udm16295-p10udm16299);(-122.86676819656951,38.609020138404304) l(r:p10udm16295-p10udt4907lv);(-122.86697493505739,38.60902037359822) l(r:p10udm16298-p10ulv16262);(-122.86737690997903,38.60902984181191);(-122.86735423769352,38.60886760089491) l(r:p10udm16296-p10ulv16248);(-122.86758364849986,38.60903007593048);(-122.86765251167562,38.60905718970226) l(r:p10udm16297-p10ulv16252);(-122.86784811194019,38.60886815966598);(-122.8679283944318,38.60893133399836) l(r:p10udm16296-p10udm16297);(-122.86777903386282,38.60895820120363);(-122.86775617873253,38.60889509168069);(-122.86784811194019,38.60886815966598) l(r:p10udm16296-p10udm16298);(-122.86758364849986,38.60903007593048) l(r:p10udm16298-p10udt4907lv);(-122.86737690997903,38.60902984181191) l(r:p10udt4908lv-p10ulv15973);(-122.86629498419045,38.61026324854336);(-122.86626081158869,38.61011000626436) l(r:p10udt4908lv-p10ulv16128);(-122.86629498419045,38.61026324854336);(-122.86629456604936,38.610488546924756) l(r:p10udt4908lv-p10ulv16151);(-122.86629498419045,38.61026324854336);(-122.86631802241999,38.61022722704578) l(r:p10udt4908lv-p10ulv16420);(-122.86629498419045,38.61026324854336);(-122.86627194593783,38.61029927003614) l(r:p10udm16302-p10ulv16091);(-122.86607675647238,38.6102629989977);(-122.86610034755492,38.60992958366703) l(r:p10udm16302-p10ulv16225);(-122.86607675647238,38.6102629989977);(-122.86616869205105,38.61023606831294) l(r:p10udm16302-p10ulv16237);(-122.86607675647238,38.6102629989977);(-122.86608819188741,38.61029004794783) l(r:p10udm16302-p10ulv16532);(-122.86607675647238,38.6102629989977);(-122.86608851016331,38.610118821174375) l(r:p10udm16305-p10ulv16214);(-122.86585852875702,38.610262749045084);(-122.86588126521278,38.61038894246758) l(r:p10udm16302-p10udm16305);(-122.86585852875702,38.610262749045084) l(r:p10udm16302-p10udt4908lv);(-122.86607675647238,38.6102629989977) l(r:p10udm16310-p10ulv16540);(-122.86650172624165,38.61026348457964);(-122.86651304492833,38.61035361703646) l(r:p10udm16310-p10udt4908lv);(-122.86650172624165,38.61026348457964) l(r:p10udt4927lv-p10ulv16022);(-122.86781322569094,38.60910243113653);(-122.86796255351396,38.6090935877645) l(r:p10udt4927lv-p10ulv16406);(-122.86781322569094,38.60910243113653);(-122.8676868026016,38.6091473480436) l(r:p10udm16440-p10ulv16159);(-122.86821584408523,38.608760430882434);(-122.86823866661288,38.60884156419441) l(r:p10udm16445-p10ulv16513);(-122.86831926237662,38.60873351143012);(-122.86837654133075,38.608814683483224) l(r:p10udm16440-p10udm16445);(-122.86831926237662,38.60873351143012) l(r:p10udm16440-p10udt4927lv);(-122.86779038702308,38.60903030968381);(-122.86776763504129,38.608959306119374);(-122.86774513065058,38.608897554969886);(-122.86784409460864,38.60885971697104);(-122.86794004507927,38.60884122757879);(-122.86803197814982,38.608814295419144);(-122.8681239111518,38.60878736318703);(-122.86821584408523,38.608760430882434) l(r:p10udm16442-p10ulv16296);(-122.86783604787222,38.60918356452164);(-122.8675947530412,38.60923736349232) l(r:p10udm16438-p10ulv16016);(-122.86785888663395,38.60925568596374);(-122.86774394882038,38.60930061589986) l(r:p10udm16438-p10ulv16315);(-122.86785888663395,38.60925568596374);(-122.86832999017581,38.60914807351163) l(r:p10udm16441-p10ulv16242);(-122.86788172544122,38.60932780740055);(-122.86803111975455,38.60928291619208) l(r:p10udm16438-p10udm16441);(-122.86788172544122,38.60932780740055) l(r:p10udm16438-p10udm16442);(-122.86785888663395,38.60925568596374) l(r:p10udm16442-p10udt4927lv);(-122.86783604787222,38.60918356452164) l(r:p10udt4929lv-p10ulv16035);(-122.86901908697693,38.60916687075215);(-122.8691225546676,38.60911291477768) l(r:p10udt4929lv-p10ulv16488);(-122.86901908697693,38.60916687075215);(-122.86930635516269,38.60909509628352) l(r:p10udm16462-p10ulv16020);(-122.86898477798496,38.6090857247368);(-122.86852521065927,38.609166316919435) l(r:p10udm16462-p10ulv16185);(-122.86898477798496,38.6090857247368);(-122.86859410719572,38.6091754062615) l(r:p10udm16466-p10ulv16163);(-122.86896195454008,38.60900459157212);(-122.86878955760125,38.60906748209561) l(r:p10udm16471-p10ulv16342);(-122.86893914754037,38.60891444646348);(-122.8687438455403,38.60894126343847) l(r:p10udm16470-p10ulv16326);(-122.86891632420061,38.60883331328772);(-122.86904268045168,38.60882444280232) l(r:p10udm16474-p10ulv21683);(-122.86914665503724,38.608491116694246);(-122.86928405457998,38.60872558114363) l(r:p10udm16470-p10udm16474);(-122.86889350091201,38.60875218010644);(-122.86887069407697,38.60866203498087);(-122.86884787089349,38.608580901788514);(-122.86893980329424,38.608553968913284);(-122.86904323740113,38.60851803688007);(-122.86914665503724,38.608491116694246) l(r:p10udm16470-p10udm16471);(-122.86891632420061,38.60883331328772) l(r:p10udm16466-p10udm16471);(-122.86893914754037,38.60891444646348) l(r:p10udm16462-p10udm16466);(-122.86896195454008,38.60900459157212) l(r:p10udm16462-p10udt4929lv);(-122.86898477798496,38.6090857247368) l(r:p10udm16465-p10ulv16145);(-122.86904191053705,38.60924800390364);(-122.86885801116138,38.60931989360834) l(r:p10udm16465-p10ulv16233);(-122.86904191053705,38.60924800390364);(-122.86920280582902,38.609194112111844) l(r:p10udm16464-p10ulv16039);(-122.8690647177698,38.60933814898749);(-122.86893831143716,38.609374055307676) l(r:p10udm16473-p10ulv21652);(-122.86908754143508,38.609419282127895);(-122.86919097671218,38.60938334997148) l(r:p10udm16468-p10ulv16195);(-122.86911036515147,38.609500415262794);(-122.86892656357446,38.609518233445954) l(r:p10udm16468-p10udm16473);(-122.86911036515147,38.609500415262794) l(r:p10udm16464-p10udm16473);(-122.86908754143508,38.609419282127895) l(r:p10udm16464-p10udm16465);(-122.8690647177698,38.60933814898749) l(r:p10udm16465-p10udt4929lv);(-122.86904191053705,38.60924800390364) l(r:p10udt4935lv-p10ulv16048);(-122.86930568488172,38.60946458574335);(-122.86932839443836,38.60960880239869) l(r:p10udt4962lv-p10ulv16240);(-122.86879069006662,38.60844565832761);(-122.86888252391114,38.60847279713149) l(r:p10udt4970lv-p10ulv16303);(-122.87024784031631,38.60927638310926);(-122.87037418097005,38.60927652312632) l(r:p10udm16651-p10ulv21707);(-122.87021362729591,38.60914116582193);(-122.87009865862896,38.609204121951564) l(r:p10udm16651-p10udt4970lv);(-122.87021362729591,38.60914116582193) l(r:p10udm16652-p10ulv16310);(-122.86598619547783,38.60955095087065);(-122.86597439138221,38.609722164506024) l(r:p10udm16652-p10udt4971lv);(-122.86610103428622,38.60956009428449);(-122.8659861787141,38.60955996280705) l(r:p10udm16653-p10ulv16367);(-122.86660591491024,38.60982201760245);(-122.86645650189016,38.60987591891979) l(r:p10udm16653-p10udt4971lv);(-122.86631927659741,38.60955133184454);(-122.86643414886504,38.60954245105845);(-122.86654902110469,38.60953357015953);(-122.86658292140332,38.60959315772423);(-122.86657177523452,38.60965075152892);(-122.86658311060405,38.609731872052066);(-122.86660591491024,38.60982201760245) l(r:p10udm16659-p10ulv16325);(-122.86893980329424,38.608553968913284);(-122.86897416115232,38.608608079131855) l(r:p10udm16659-p10udt4975lv);(-122.86893578585209,38.608545526255185) l(r:p10udm16660-p10ulv16518);(-122.86873295119125,38.60861682076535);(-122.8687215643093,38.608562736247876) l(r:p10udm16660-p10udt4975lv);(-122.86873295119125,38.60861682076535) l(r:p10udt4981lv-p10ulv16405);(-122.86708880886317,38.60955220840028);(-122.86710049391178,38.609444078201975) l(r:p10udt4985lv-p10ulv16425);(-122.87000539243176,38.60997003463314);(-122.86994801311502,38.609942935012285) l(r:p10udm16700-p10ulv21666);(-122.8702006480478,38.60997025137344);(-122.87015462433686,38.61001526009127) l(r:p10udm16700-p10udt4985lv);(-122.8702006480478,38.60997025137344) l(r:p10udt5010lv-p10ulv16694);(-122.86364335515871,38.59122691025252);(-122.86369063182624,38.59051501856732) l(r:p10udm16859-p10ulv16692);(-122.86331035875675,38.5912265218166);(-122.86333407573203,38.590830022218334) l(r:p10udm16859-p10ulv16709);(-122.86331035875675,38.5912265218166);(-122.86332238813415,38.590938152380524) l(r:p10udm16859-p10ulv16759);(-122.86331035875675,38.5912265218166);(-122.86332283235703,38.5907038413075) l(r:p10udm16859-p10udt5010lv);(-122.86354001144707,38.59122678980483);(-122.86342518510153,38.591226655867054);(-122.86331035875675,38.5912265218166) l(r:p10udm16863-p10ulv16726);(-122.86375816447442,38.59123605594013);(-122.86372398913467,38.59109182441133) l(r:p10udm16864-p10ulv16732);(-122.86387299083653,38.591236189551246);(-122.86392050339082,38.59039813025849) l(r:p10udm16862-p10ulv16714);(-122.86398781719939,38.59123632304973);(-122.86399991187248,38.59091190568182) l(r:p10udm16862-p10udm16864);(-122.86398781719939,38.59123632304973) l(r:p10udm16863-p10udm16864);(-122.86387299083653,38.591236189551246) l(r:p10udm16863-p10udt5010lv);(-122.86375816447442,38.59123605594013) l(r:p10udt5014lv-p10ulv16727);(-122.86194508158728,38.590621116403646);(-122.86215168110218,38.59066641984208) l(r:p10udm16880-p10ulv16697);(-122.8618527550214,38.59086433103766);(-122.86187563384146,38.59090941796105) l(r:p10udm16880-p10ulv16728);(-122.8618527550214,38.59086433103766);(-122.86200194225758,38.5909095669577) l(r:p10udm16880-p10udt5014lv);(-122.86191047861804,38.59070218344177);(-122.86187587557073,38.590783250468384);(-122.8618527550214,38.59086433103766) l(r:p10udm16883-p10ulv16731);(-122.86196820195401,38.59054003581244);(-122.86199114975115,38.59054907485876) l(r:p10udm16884-p10ulv16749);(-122.86200280478002,38.59045896875473);(-122.86262304931509,38.59036056659209) l(r:p10udm16883-p10udm16884);(-122.86200280478002,38.59045896875473) l(r:p10udm16883-p10udt5014lv);(-122.86196820195401,38.59054003581244) l(r:p10udt5017lv-p10ulv16700);(-122.86286255316267,38.591216985979884);(-122.86286335888686,38.59079342367709) l(r:p10udm16909-p10ulv16743);(-122.86296589685732,38.59121710702575);(-122.86300058451926,38.59109097986213) l(r:p10udm16909-p10udt5017lv);(-122.86296589685732,38.59121710702575) l(r:p10udm16910-p10ulv16754);(-122.86274772683599,38.59121685137748);(-122.86272561958481,38.59076622625055) l(r:p10udm16910-p10udt5017lv);(-122.86274772683599,38.59121685137748) l(r:p10udm16919-p10ulv16712);(-122.86522790827154,38.591255781583556);(-122.86526269305564,38.59107558195536) l(r:p10udm16919-p10udt5021lv);(-122.86512456451172,38.59125566253495);(-122.86522790827154,38.591255781583556) l(r:p10udm16921-p10ulv16752);(-122.86489491171433,38.591255397655765);(-122.86494129812446,38.59101212762698) l(r:p10udm16920-p10ulv16750);(-122.86478010222032,38.591246253082964);(-122.86476978600857,38.590624414250804) l(r:p10udm16920-p10udm16921);(-122.86478010222032,38.591246253082964) l(r:p10udm16921-p10udt5021lv);(-122.86489491171433,38.591255397655765) l(r:p10udm16940-p10ulv16762);(-122.86794921162769,38.59130394351668);(-122.86797237497977,38.59119582584075) l(r:p10udm16940-p10udt5027lv);(-122.86817884812957,38.59131321436837);(-122.86806403811762,38.591304073016175);(-122.86794921162769,38.59130394351668) l(r:p10udm16941-p10ulv16768);(-122.86877517496745,38.59173744773163);(-122.86866029852759,38.5917643548233) l(r:p10udm16941-p10udt5027lv);(-122.86839701849118,38.59131345989301);(-122.86846584862396,38.591349585204455);(-122.86853467882578,38.591385710475315);(-122.86859204285578,38.591412810848226);(-122.8686378257294,38.59149397009737);(-122.86868360870588,38.59157512932765);(-122.86872939178524,38.59165628853906);(-122.86877517496745,38.59173744773163) l(r:p10udm16942-p10ulv16764);(-122.86123012851866,38.59219736794596);(-122.86167773246358,38.59231505357595) l(r:p10udm16944-p10ulv16777);(-122.86119552398411,38.59227843474789);(-122.86158574888269,38.59237802869984) l(r:p10udm16942-p10udm16944);(-122.86119552398411,38.59227843474789) l(r:p10udm16942-p10udt5028lv);(-122.86123012851866,38.59219736794596) l(r:p10udm16943-p10ulv16765);(-122.86131083745354,38.592026235953504);(-122.86180433703656,38.592161999443796) l(r:p10udm16943-p10udt5028lv);(-122.86131083745354,38.592026235953504) l(r:p10udm16945-p10ulv16766);(-122.86981735199406,38.59326163660119);(-122.86963364090654,38.59325242012866) l(r:p10udm16945-p10udt5029lv);(-122.8699311246514,38.593847541839104);(-122.86990830491726,38.5937664086538);(-122.86989698452872,38.59367627626636);(-122.86988564790153,38.593595155838955);(-122.86986282829766,38.5935140226415);(-122.8698514917339,38.59343290220865);(-122.86982867221934,38.593351769002446);(-122.86981735199406,38.59326163660119) l(r:p10udm16946-p10ulv16771);(-122.86997661797292,38.5940909158495);(-122.87007996581767,38.59409103062085) l(r:p10udm16946-p10ulv16774);(-122.86997661797292,38.5940909158495);(-122.86991923502943,38.59407282812474) l(r:p10udm16948-p10ulv16802);(-122.86998793852469,38.59418104822313);(-122.87010276960694,38.59418117573035) l(r:p10udm16946-p10udm16948);(-122.86998793852469,38.59418104822313) l(r:p10udm16946-p10udt5029lv);(-122.86996528119215,38.59400979543531);(-122.86997661797292,38.5940909158495) l(r:p10udt5030lv-p10ulv16793);(-122.86118301096074,38.59877605521954);(-122.86168925095492,38.59828099612963) l(r:p10udm16949-p10ulv16767);(-122.86126329372657,38.598830222250726);(-122.86143598455558,38.59860512754441) l(r:p10udm16949-p10udt5030lv);(-122.86126329372657,38.598830222250726) l(r:p10udm16950-p10ulv16792);(-122.86101096197241,38.59866770735319);(-122.86128712865724,38.59837965189819) l(r:p10udm16950-p10udt5030lv);(-122.86109124448815,38.59872187450295);(-122.86101096197241,38.59866770735319) l(r:p10udm16953-p10ulv16783);(-122.87002149409182,38.594676744355006);(-122.8700789587572,38.59464977222425) l(r:p10udm16952-p10ulv16769);(-122.87001030343535,38.59451451630374);(-122.87007916991736,38.59453261673119) l(r:p10udm16952-p10udm16953);(-122.87002164034453,38.59459563670677);(-122.87001030343535,38.59451451630374) l(r:p10udm16953-p10udt5031lv);(-122.87002149409182,38.594676744355006) l(r:p10udm16954-p10ulv16784);(-122.87019296290943,38.59510950963403);(-122.869882882459,38.59512718925819) l(r:p10udm16954-p10udt5031lv);(-122.87013580640887,38.5949652545721);(-122.87019296290943,38.59510950963403) l(r:p10udm16956-p10ulv16778);(-122.86050895300981,38.596972859105236);(-122.8603250765226,38.59704473559456) l(r:p10udm16958-p10ulv16803);(-122.86045165740492,38.596909706978366);(-122.86039420480232,38.59692766240776) l(r:p10udm16960-p10ulv21042);(-122.86013074790957,38.596584892794006);(-122.85998123499729,38.596701869686974) l(r:p10udm16958-p10udm16960);(-122.86038289582879,38.59683752917002);(-122.86031411692498,38.596774363274655);(-122.86025682164835,38.596711211050874);(-122.86019952647204,38.596648058798536);(-122.86013074790957,38.596584892794006) l(r:p10udm16956-p10udm16958);(-122.86045165740492,38.596909706978366) l(r:p10udm16956-p10udt5032lv);(-122.86050895300981,38.596972859105236) l(r:p10udm16959-p10ulv16804);(-122.86069195822034,38.597351580006055);(-122.86060012420903,38.59733344670844) l(r:p10udm16957-p10ulv16787);(-122.86068030041261,38.59744168586801);(-122.86031280700624,38.59745025978627) l(r:p10udm16955-p10ulv16772);(-122.86066848578216,38.59761289930358);(-122.86101301268023,38.597604296996494) l(r:p10udm16955-p10udm16957);(-122.860680126213,38.59753180539852);(-122.86066848578216,38.59761289930358) l(r:p10udm16957-p10udm16959);(-122.86068030041261,38.59744168586801) l(r:p10udm16959-p10udt5032lv);(-122.86069195822034,38.597351580006055) l(r:p10udt5034lv-p10ulv16775);(-122.86081591296332,38.59262945119891);(-122.8607584638656,38.592647406826835) l(r:p10udm16967-p10ulv16780);(-122.8602761313698,38.59267386793267);(-122.8602760440323,38.59271892773415) l(r:p10udm16967-p10udt5036lv);(-122.86016128525326,38.592682742748664);(-122.8602761313698,38.59267386793267) l(r:p10udm16968-p10ulv21087);(-122.8598970042144,38.592772546490124);(-122.85994290071221,38.59279062538526) l(r:p10udm16968-p10udt5036lv);(-122.85994307580394,38.592700505784386);(-122.8598970042144,38.592772546490124) l(r:p10udm16969-p10ulv16781);(-122.86096407050611,38.59916331009271);(-122.86072254292506,38.59935227429359) l(r:p10udm16969-p10udt5037lv);(-122.86096407050611,38.59916331009271) l(r:p10udm16970-p10ulv16799);(-122.86061990214827,38.59898266127743);(-122.86041256415261,38.59930684512394) l(r:p10udm16970-p10udt5037lv);(-122.86061990214827,38.59898266127743) l(r:p10udm16972-p10ulv16786);(-122.8602761313698,38.59267386793267);(-122.8602540216806,38.592232254440496) l(r:p10udm16971-p10ulv16782);(-122.86048285779749,38.59265609058766);(-122.86043757190168,38.59232259327966) l(r:p10udm16971-p10udm16972);(-122.86037949459624,38.592664979305844);(-122.86048285779749,38.59265609058766) l(r:p10udm16972-p10udt5038lv);(-122.8602750061631,38.5926648993329) l(r:p10udm16973-p10ulv21032);(-122.85994307580394,38.592700505784386);(-122.8596450300932,38.59243880127796) l(r:p10udm16973-p10ulv21093);(-122.85994307580394,38.592700505784386);(-122.85992093312431,38.59227691615678) l(r:p10udm16973-p10udt5038lv);(-122.860057921975,38.59269163118265);(-122.85994420096989,38.59270947438721) l(r:p10udt5039lv-p10ulv16800);(-122.86260002409136,38.59641659030902);(-122.86265733836322,38.59647072943684) l(r:p10udm16975-p10ulv16785);(-122.86274949784945,38.596317633967075);(-122.86286405796224,38.596461959871846) l(r:p10udm16975-p10udt5039lv);(-122.86268049416363,38.59637162487711);(-122.86274949784945,38.596317633967075) l(r:p10udm16976-p10ulv16790);(-122.86253102019889,38.59647058112961);(-122.86256538468912,38.59651568136707) l(r:p10udm16976-p10udt5039lv);(-122.86253102019889,38.59647058112961) l(r:p10udt5041lv-p10ulv16794);(-122.87053640848812,38.59569566861632);(-122.87047882980191,38.59578572468116) l(r:p10udt5043lv-p10ulv16801);(-122.86139152867665,38.59186411586168);(-122.8615980967971,38.59192744419761) l(r:p10udt5212lv-p10ulv17414);(-122.87125690681297,38.61020572904343);(-122.8713371615979,38.610286924905) l(r:p10udt5476lv-p10ulv18880);(-122.84362347753815,38.60439540020712);(-122.8435774995216,38.60441336268274) l(r:p10udm18867-p10ulv18882);(-122.84867658596137,38.60448315102228);(-122.84842617754332,38.60341040339258) l(r:p10udm18868-p10ulv18901);(-122.84857318543148,38.60450104119538);(-122.84840243107949,38.603779863127365) l(r:p10udm18869-p10ulv18909);(-122.84848126959535,38.60451894614738);(-122.84848142119527,38.60444685064845) l(r:p10udm18868-p10udm18869);(-122.84848126959535,38.60451894614738) l(r:p10udm18867-p10udm18868);(-122.84857318543148,38.60450104119538) l(r:p10udm18867-p10udt5478lv);(-122.84867658596137,38.60448315102228) l(r:p10udm18870-p10ulv18910);(-122.84888338686802,38.604447370401495);(-122.84882632242876,38.60427606941937) l(r:p10udm18871-p10ulv21219);(-122.84909016869663,38.60442060135236);(-122.84914734707742,38.60453783057801) l(r:p10udm18870-p10udm18871);(-122.84898676835799,38.604438491891486);(-122.84909016869663,38.60442060135236) l(r:p10udm18870-p10udt5478lv);(-122.84888338686802,38.604447370401495) l(r:p10udm18873-p10ulv18884);(-122.84820219938862,38.606149749350614);(-122.8475927888489,38.60648239975011) l(r:p10udm18873-p10ulv18888);(-122.84820219938862,38.606149749350614);(-122.84747772843016,38.60658138138855) l(r:p10udm18877-p10ulv18911);(-122.84828248056526,38.60620392521534);(-122.84822492261614,38.60626693429361) l(r:p10udm18876-p10ulv18908);(-122.84843180469642,38.60619510675412);(-122.84848940030759,38.606114073703175) l(r:p10udm18876-p10udm18877);(-122.8483628756587,38.606204029417036);(-122.84843180469642,38.60619510675412) l(r:p10udm18873-p10udm18877);(-122.84828248056526,38.60620392521534) l(r:p10udm18873-p10udt5479lv);(-122.84819088527087,38.60606862703969);(-122.84820219938862,38.606149749350614) l(r:p10udm18875-p10ulv18903);(-122.84814566703726,38.60572611390375);(-122.84826055436537,38.60570823900839) l(r:p10udm18878-p10ulv18914);(-122.84808915434206,38.60529346646424);(-122.84842221552023,38.60529389833913) l(r:p10udm18872-p10ulv18883);(-122.84807785948381,38.60520333219493);(-122.84796293488579,38.605239230786005) l(r:p10udm18872-p10udm18878);(-122.84807785948381,38.60520333219493) l(r:p10udm18875-p10udm18878);(-122.84813437204227,38.6056359796463);(-122.84812305807965,38.605554857322005);(-122.84811176313909,38.605464723059846);(-122.84810046822643,38.60537458879527);(-122.84808915434206,38.60529346646424) l(r:p10udm18875-p10udt5479lv);(-122.848168276101,38.60589737047629);(-122.84815698105159,38.60580723622357);(-122.84814566703726,38.60572611390375) l(r:p10udt5482lv-p10ulv18887);(-122.84439184466217,38.60491010621215);(-122.84427637337268,38.605198335353286) l(r:p10udt5483lv-p10ulv18889);(-122.84639857347413,38.606372694853775);(-122.84642142829902,38.60642679660246) l(r:p10udt5483lv-p10ulv18891);(-122.84639857347413,38.606372694853775);(-122.846903896059,38.60638236898977) l(r:p10udt5483lv-p10ulv18916);(-122.84639857347413,38.606372694853775);(-122.84642079439895,38.606724190400335) l(r:p10udm18890-p10ulv18892);(-122.84542387252162,38.60565946665673);(-122.84517147528008,38.60553296555845) l(r:p10udm18890-p10udt5485lv);(-122.8452972868096,38.60577645485905);(-122.84536633185438,38.60571346239643);(-122.84542387252162,38.60565946665673) l(r:p10udm18891-p10ulv18897);(-122.8451821662849,38.60590247004967);(-122.8447921434234,38.60568566638886) l(r:p10udm18891-p10ulv18898);(-122.8451821662849,38.60590247004967);(-122.8445738908982,38.6057034006398) l(r:p10udm18891-p10udt5485lv);(-122.8451821662849,38.60590247004967) l(r:p10udt5488lv-p10ulv18900);(-122.84694143329804,38.604931492540565);(-122.84721584577917,38.605508616606976) l(r:p10udt5489lv-p10ulv18904);(-122.8496258698282,38.60637688638496);(-122.84914346035167,38.6063942894194) l(r:p10udm18899-p10ulv21171);(-122.84962570055475,38.60645799379864);(-122.84975156634255,38.60668345443689) l(r:p10udm18899-p10ulv21172);(-122.84962570055475,38.60645799379864);(-122.84940735267169,38.60652079673276) l(r:p10udm18899-p10ulv21224);(-122.84962570055475,38.60645799379864);(-122.84946460853826,38.60660197802778) l(r:p10udm18904-p10ulv21267);(-122.85014264047804,38.606404585164164);(-122.85000483868399,38.60639539665911) l(r:p10udm18903-p10ulv21249);(-122.85011985784884,38.606314436395635);(-122.85013126790125,38.606350498845465) l(r:p10udm18905-p10ulv21270);(-122.8500744427751,38.606062043357184);(-122.8499250440319,38.60610691165753) l(r:p10udm18903-p10udm18905);(-122.85010854154616,38.606233314267996);(-122.85008575901311,38.60614316549036);(-122.8500744427751,38.606062043357184) l(r:p10udm18903-p10udm18904);(-122.85011985784884,38.606314436395635) l(r:p10udm18899-p10udm18904);(-122.85015395684539,38.60648570728626);(-122.85014264047804,38.606404585164164) l(r:p10udm18899-p10udt5489lv);(-122.84962570055475,38.60645799379864) l(r:p10udm18902-p10ulv21241);(-122.84961457288176,38.606286752276475);(-122.84935036073028,38.606313448321636) l(r:p10udm18906-p10ulv21274);(-122.84961476097607,38.606196632925275);(-122.84936209069524,38.606196307951265) l(r:p10udm18907-p10ulv21832);(-122.84960346407104,38.606106498813006);(-122.84972983664474,38.60608863723654) l(r:p10udm18906-p10udm18907);(-122.84960346407104,38.606106498813006) l(r:p10udm18902-p10udm18906);(-122.84961476097607,38.606196632925275) l(r:p10udm18902-p10udt5489lv);(-122.84961457288176,38.606286752276475) l(r:p10udt5490lv-p10ulv18907);(-122.8462618490439,38.60585883364151);(-122.84687097313939,38.60566136916385) l(r:p10udm18909-p10ulv18915);(-122.84629615012165,38.605930974374864);(-122.8470316855769,38.60569762718858) l(r:p10udm18909-p10udt5490lv);(-122.84629615012165,38.605930974374864) l(r:p10udm18913-p10ulv21244);(-122.84958158524955,38.60558377701862);(-122.8494552135255,38.60560163843827) l(r:p10udm18911-p10ulv18917);(-122.84959252462217,38.6058451379221);(-122.84916752463033,38.60587162679214) l(r:p10udm18911-p10ulv21275);(-122.84959252462217,38.6058451379221);(-122.84944327667603,38.60581791012399) l(r:p10udm18912-p10ulv21203);(-122.84958120898536,38.60576401573829);(-122.84968455468025,38.605773160489235) l(r:p10udm18911-p10udm18912);(-122.84958120898536,38.60576401573829) l(r:p10udm18911-p10udm18913);(-122.84958139711786,38.60567389637916);(-122.84959269392922,38.60576403050004);(-122.84959252462217,38.6058451379221) l(r:p10udm18913-p10udt5492lv);(-122.84957026966514,38.60550265483024);(-122.84958158524955,38.60558377701862) l(r:p10udm18914-p10ulv21273);(-122.84954803373135,38.60515115977771);(-122.84968585188612,38.6051513368809) l(r:p10udm18916-p10ulv21283);(-122.84953709461719,38.60488979883955);(-122.84943376903915,38.60487164202245) l(r:p10udm18917-p10ulv21824);(-122.84952579800886,38.60479966470023);(-122.84927317033005,38.604781315669555) l(r:p10udm18916-p10udm18917);(-122.84952579800886,38.60479966470023) l(r:p10udm18914-p10udm18916);(-122.84954822190123,38.60506104040925);(-122.84953692525283,38.60497090627356);(-122.84953709461719,38.60488979883955) l(r:p10udm18914-p10udt5492lv);(-122.84955916107971,38.60532240133883);(-122.84955934923681,38.605232281972974);(-122.84954803373135,38.60515115977771) l(r:p10udt6040lv-p10ulv21014);(-122.85361981367103,38.59647779856657);(-122.85304536476413,38.59661225827786) l(r:p10udm20829-p10ulv21016);(-122.85023139985415,38.591327671761626);(-122.85027605768406,38.59194054366878) l(r:p10udm20832-p10ulv21090);(-122.85046105273547,38.59132796541408);(-122.85050662824126,38.59149925129983) l(r:p10udm20829-p10udm20832);(-122.85034622629439,38.59132781864419);(-122.85046105273547,38.59132796541408) l(r:p10udm20829-p10udt6041lv);(-122.85001324836958,38.59131838041609);(-122.85012805605864,38.59132753947102);(-122.85023139985415,38.591327671761626) l(r:p10udm20830-p10ulv21033);(-122.84945058008275,38.59132666997261);(-122.84939305390309,38.59138066785416) l(r:p10udm20830-p10ulv21086);(-122.84945058008275,38.59132666997261);(-122.84947290558507,38.591633106078454) l(r:p10udm20830-p10udt6041lv);(-122.84978355796692,38.59133610980145);(-122.84966873151643,38.59133596236684);(-122.84956538771173,38.59133582957936);(-122.84945056126283,38.591335681930694) l(r:p10udt6042lv-p10ulv21017);(-122.85352602297023,38.59742393848793);(-122.8536175807538,38.59757725658658) l(r:p10udm20834-p10ulv21018);(-122.85896898909648,38.59169900606695);(-122.85943978011402,38.591699572426876) l(r:p10udm20834-p10udt6043lv);(-122.85896898909648,38.59169900606695) l(r:p10udm20835-p10ulv21076);(-122.85896934170043,38.59151876683763);(-122.85956647612352,38.59150146089728) l(r:p10udm20835-p10udt6043lv);(-122.85896934170043,38.59151876683763) l(r:p10udt6044lv-p10ulv21019) l(r:p10udm20837-p10ulv21020);(-122.85161848107663,38.59803434965727);(-122.85190446284453,38.598575430202125) l(r:p10udm20837-p10udt6045lv);(-122.85151505339365,38.59807026636654);(-122.85161848107663,38.59803434965727) l(r:p10udm20838-p10ulv21078);(-122.85129673258271,38.5981330729703);(-122.85152536750843,38.59863803366548) l(r:p10udm20838-p10udt6045lv);(-122.85129673258271,38.5981330729703) l(r:p10udt6052lv-p10ulv21027) l(r:p10udt6055lv-p10ulv21030);(-122.85288251735552,38.597630406706216);(-122.85282524632606,38.59755823891502) l(r:p10udm20858-p10ulv21081);(-122.8529974273728,38.59759450320815);(-122.8530890026154,38.59773880976442) l(r:p10udm20858-p10udt6055lv);(-122.8529974273728,38.59759450320815) l(r:p10udt6062lv-p10ulv21044);(-122.85436343474058,38.59786657024798);(-122.85504138921532,38.59766013656783) l(r:p10udt6063lv-p10ulv21085);(-122.85287524628426,38.59556665541187);(-122.85273670995791,38.59592696020503) l(r:p10udt6063lv-p10ulv21094);(-122.85287524628426,38.59556665541187);(-122.85239193179399,38.59606170549324) l(r:p10udt6063lv-p10ulv21100);(-122.85287524628426,38.59556665541187);(-122.85252980633777,38.59602583140473) l(r:p10udm20867-p10ulv21045);(-122.85274905863052,38.59550341287905);(-122.85241547061533,38.59578236393948) l(r:p10udm20867-p10udt6063lv);(-122.85274905863052,38.59550341287905) l(r:p10udt6066lv-p10ulv21048);(-122.85078858403236,38.59396889369214);(-122.85053522784402,38.59432003755117) l(r:p10udt6067lv-p10ulv21049);(-122.85129316960969,38.594293967126646);(-122.85077555250265,38.59471687123128) l(r:p10udt6068lv-p10ulv21070);(-122.85150439550425,38.59209531230142);(-122.8516653025904,38.59202342049743) l(r:p10udt6068lv-p10ulv21099);(-122.85150439550425,38.59209531230142);(-122.85114816921833,38.592221027518725) l(r:p10udm20875-p10ulv21051);(-122.85173310554974,38.59255521329232);(-122.85152622881297,38.59264507083456) l(r:p10udm20875-p10udt6068lv);(-122.85158445945987,38.59224861753862);(-122.85166452375513,38.59240192271773);(-122.85173310554974,38.59255521329232) l(r:p10udm20877-p10ulv21088);(-122.85143581463078,38.59194202158257);(-122.85206762438308,38.591816654137794) l(r:p10udm20879-p10ulv21101);(-122.85135575132999,38.591788716236934);(-122.85113750525196,38.591824486774996) l(r:p10udm20877-p10udm20879);(-122.85135575132999,38.591788716236934) l(r:p10udm20877-p10udt6068lv);(-122.85143581463078,38.59194202158257) l(r:p10udt6070lv-p10ulv21053);(-122.85539307911714,38.599805420844966);(-122.85563449579404,38.59967955132186) l(r:p10udt6070lv-p10ulv21074);(-122.85539307911714,38.599805420844966);(-122.85601375512739,38.59953582703071) l(r:p10udt6072lv-p10ulv21055);(-122.85260003360018,38.59537705761506);(-122.85187540107576,38.59595290917693) l(r:p10udt6074lv-p10ulv21057);(-122.8543953915438,38.599101250133955);(-122.85431511365334,38.59904707840337) l(r:p10udt6079lv-p10ulv21066);(-122.85261899775386,38.59171821796096);(-122.8528833391104,38.59160139477085) l(r:p10udt6079lv-p10ulv21072);(-122.85261899775386,38.59171821796096);(-122.85240126914472,38.59150165600956) l(r:p10udt6079lv-p10ulv21082);(-122.85261899775386,38.59171821796096);(-122.85258388620365,38.59204260508309) l(r:p10udt6080lv-p10ulv21069);(-122.85762085011002,38.59987123615278);(-122.85698958729222,38.599690227054566) l(r:p10udt6088lv-p10ulv21097);(-122.85182533613406,38.59796251596322);(-122.85167671566636,38.597637896689086) l(r:p10udm20967-p10ulv21254);(-122.85027347039511,38.60424188204319);(-122.85033061312478,38.60437713457448) l(r:p10udm20962-p10ulv21163);(-122.85015858589901,38.60425975892491);(-122.85014732609211,38.60415160095739) l(r:p10udm20962-p10ulv21848);(-122.85015858589901,38.60425975892491);(-122.85016980826171,38.60438594076589) l(r:p10udm20964-p10ulv21174);(-122.84982541678369,38.60431340363079);(-122.84982581119917,38.60412415292582) l(r:p10udm20966-p10ulv21238);(-122.84994011377255,38.60438564645854);(-122.8498711490981,38.604412593892654) l(r:p10udm20964-p10udm20966);(-122.84994011377255,38.60438564645854) l(r:p10udm20962-p10udm20964);(-122.85005518605352,38.60427765040956);(-122.84994030144692,38.60429552707664);(-122.84982541678369,38.60431340363079) l(r:p10udm20962-p10udm20967);(-122.85015858589901,38.60425975892491) l(r:p10udm20967-p10udt6120lv);(-122.85027347039511,38.60424188204319) l(r:p10udm20968-p10ulv21259);(-122.85049173582287,38.604215125207645);(-122.85040021372924,38.60404378095657) l(r:p10udm20969-p10ulv21827);(-122.85059513547151,38.6041972333367);(-122.8505151539076,38.60399886801939) l(r:p10udm20963-p10ulv21168);(-122.85104316897645,38.60413472073668);(-122.85096376405912,38.603656985598114) l(r:p10udm20965-p10ulv21195);(-122.85114656837428,38.60411682837775);(-122.85107801415559,38.60394551386496) l(r:p10udm20963-p10udm20965);(-122.85114656837428,38.60411682837775) l(r:p10udm20963-p10udm20969);(-122.85071001976094,38.60417935602581);(-122.85082490399374,38.604161478601974);(-122.85092830348354,38.604143586436166);(-122.85104316897645,38.60413472073668) l(r:p10udm20968-p10udm20969);(-122.85059513547151,38.6041972333367) l(r:p10udm20968-p10udt6120lv);(-122.85049173582287,38.604215125207645) l(r:p10udt6121lv-p10ulv21245);(-122.85091308959719,38.60594595930686);(-122.85090164192012,38.6059279208024) l(r:p10udm20971-p10ulv21164);(-122.85092442540731,38.606018069426426);(-122.85083260149774,38.60599091653795) l(r:p10udm20971-p10udt6121lv);(-122.85092442540731,38.606018069426426) l(r:p10udm20973-p10ulv21165);(-122.84999569884494,38.605268889948924);(-122.85039774397475,38.60523335676194) l(r:p10udm20974-p10ulv21193);(-122.85001810582409,38.60553927748702);(-122.84994919637448,38.60553918915061) l(r:p10udm20973-p10udm20974);(-122.85000699611285,38.60535902403698);(-122.85000680851475,38.60544914340274);(-122.85001810582409,38.60553927748702) l(r:p10udm20973-p10udt6122lv);(-122.84999588645573,38.60517877058043);(-122.84999569884494,38.605268889948924) l(r:p10udm20975-p10ulv21234);(-122.8499736485402,38.60482727558529);(-122.84987030416401,38.604818131090816) l(r:p10udm20976-p10ulv21851);(-122.8499623513952,38.604737141486055);(-122.85015764888492,38.60471035582778) l(r:p10udm20975-p10udm20976);(-122.8499623513952,38.604737141486055) l(r:p10udm20975-p10udt6122lv);(-122.84998475809037,38.60500752905457);(-122.84997346090397,38.60491739495912);(-122.8499736485402,38.60482727558529) l(r:p10udt6124lv-p10ulv21167);(-122.85286892117216,38.604290233773526);(-122.85278850978632,38.60429914461107) l(r:p10udt6124lv-p10ulv21840);(-122.85286892117216,38.604290233773526);(-122.85301820402944,38.60429943332714) l(r:p10udm20982-p10ulv21235);(-122.85316847855837,38.60382198793395);(-122.85321408666508,38.60398426048714) l(r:p10udm20981-p10ulv21183);(-122.85338674165617,38.603795225692345);(-122.85342097557108,38.60390341213632) l(r:p10udm20981-p10udm20982);(-122.85327185863508,38.603813105634664);(-122.85338674165617,38.603795225692345) l(r:p10udm20982-p10udt6124lv);(-122.85285760208419,38.604209111878056);(-122.8528577677062,38.60412800442122);(-122.85284646705944,38.60403787058266);(-122.85284663269299,38.60395676312348);(-122.85284679832588,38.60387565566317);(-122.85295019690903,38.603857761708205);(-122.85306508008016,38.603839882082085);(-122.85316847855837,38.60382198793395) l(r:p10udm20984-p10ulv21836);(-122.85286857154935,38.60446146062086);(-122.85237470936055,38.604469850629336) l(r:p10udm20983-p10ulv21248);(-122.85289102586674,38.60471382378958);(-122.85281057719328,38.60474075851827) l(r:p10udm20983-p10udm20984);(-122.85287989068841,38.60454258251186);(-122.85287970668885,38.604632701901956);(-122.85289102586674,38.60471382378958) l(r:p10udm20984-p10udt6124lv);(-122.85286873716052,38.604380353167485);(-122.85286857154935,38.60446146062086) l(r:p10udm20988-p10ulv21266);(-122.85291294685126,38.60522753315229);(-122.85280963833807,38.60520036737623) l(r:p10udm20990-p10ulv21288);(-122.85290182994291,38.60504727995316);(-122.85280995129219,38.60504716442759) l(r:p10udm20988-p10udm20990);(-122.85290164596744,38.60513739933557);(-122.85290182994291,38.60504727995316) l(r:p10udm20988-p10udt6125lv);(-122.85292371430805,38.60557901315989);(-122.85292389825881,38.605488893784205);(-122.85292408220876,38.60539877440715);(-122.85291276288854,38.60531765253196);(-122.85291294685126,38.60522753315229) l(r:p10udm20989-p10ulv21277);(-122.852934665804,38.605840373775244);(-122.85281981622637,38.605840229386764) l(r:p10udm20986-p10ulv21169);(-122.85294598522914,38.60592149564126);(-122.85290008214282,38.60590341402978) l(r:p10udm20987-p10ulv21205);(-122.85295691846257,38.60619186817604);(-122.85248595910085,38.60622732329733) l(r:p10udm20986-p10udm20987);(-122.85294580130315,38.606011615010345);(-122.85295710237583,38.60610174880969);(-122.85295691846257,38.60619186817604) l(r:p10udm20986-p10udm20989);(-122.85294598522914,38.60592149564126) l(r:p10udm20989-p10udt6125lv);(-122.85293484974278,38.60575025440357);(-122.852934665804,38.605840373775244) l(r:p10udm20992-p10ulv21173);(-122.85144022778663,38.60651438313107);(-122.85148568507785,38.606748751759895) l(r:p10udm20994-p10ulv21188);(-122.8516356594519,38.60642451147378);(-122.85197980365982,38.60662321037747) l(r:p10udm20992-p10udm20994);(-122.8515206603805,38.60649646129311);(-122.8515781599449,38.60646048639773);(-122.8516356594519,38.60642451147378) l(r:p10udm20992-p10udt6127lv);(-122.85144022778663,38.60651438313107) l(r:p10udm20993-p10ulv21181);(-122.85064734738765,38.60671163699087);(-122.85080767221095,38.606937140459806) l(r:p10udm20993-p10udt6127lv);(-122.85126789601209,38.60654120010895);(-122.85115298947431,38.60656808988827);(-122.85104958655842,38.60658598223831);(-122.85094618359146,38.60660387449683);(-122.85073932149717,38.60666669454412);(-122.85064734738765,38.60671163699087) l(r:p10udm21001-p10ulv21178);(-122.85171878108228,38.605099858673825);(-122.8519140789121,38.605073070086654) l(r:p10udm21003-p10ulv21232);(-122.85170857596219,38.60447802038351);(-122.85189227623346,38.60450528890534) l(r:p10udm21001-p10udm21003);(-122.85171894799146,38.60501875123353);(-122.8517076486335,38.60492861730132);(-122.85170783410089,38.60483849792054);(-122.85170801956747,38.604748378538375);(-122.85170820503322,38.60465825915481);(-122.85170839049813,38.60456813976986);(-122.85170857596219,38.60447802038351) l(r:p10udm21001-p10udt6131lv);(-122.85171878108228,38.605099858673825) l(r:p10udm21002-p10ulv21207);(-122.85171803925554,38.60546033617261);(-122.85161476798021,38.6054151454686) l(r:p10udm21002-p10ulv21839);(-122.85171803925554,38.60546033617261);(-122.85197066995423,38.60547867992929) l(r:p10udm21004-p10ulv21255);(-122.85172898636111,38.60572169689736);(-122.85225738592267,38.605677305388774) l(r:p10udm21002-p10udm21004);(-122.85171785379679,38.60555045554382);(-122.85171766833719,38.60564057491364);(-122.85172898636111,38.60572169689736) l(r:p10udm21002-p10udt6131lv);(-122.85171841017058,38.605280097426004);(-122.85171822471348,38.6053702168);(-122.85171803925554,38.60546033617261) l(r:p10udt6136lv-p10ulv21844);(-122.85617145500052,38.60107706765441);(-122.8555855982621,38.60115745387921) l(r:p10udm21014-p10ulv21186);(-122.8561823996596,38.60134744013431);(-122.85588391746705,38.60129300114119) l(r:p10udm21014-p10udt6136lv);(-122.8561826514464,38.60122127289823);(-122.8561823996596,38.60134744013431) l(r:p10udm21015-p10ulv21253);(-122.85609182142673,38.6006984670957);(-122.85583906218615,38.60075222782055) l(r:p10udm21015-p10udt6136lv);(-122.85614877442123,38.600932848290334);(-122.85611455579864,38.60081565063791);(-122.85609182142673,38.6006984670957) l(r:p10udm21025-p10ulv21191);(-122.85721144602316,38.60363773951862);(-122.85710795944941,38.60370069695246) l(r:p10udm21025-p10udt6140lv);(-122.85756723733245,38.60375532862364);(-122.85739507492414,38.603701047175015);(-122.85721144602316,38.60363773951862) l(r:p10udm21026-p10ulv21284);(-122.85822145330917,38.60396339820695);(-122.8581409010059,38.60404440826082) l(r:p10udm21026-p10udt6140lv);(-122.85793451445242,38.60387293058931);(-122.85811814459089,38.603936237110915);(-122.85822145330917,38.60396339820695) l(r:p10udt6141lv-p10ulv21192);(-122.85317653927837,38.5998657447961);(-122.85338330638476,38.599838968110916) l(r:p10udt6142lv-p10ulv21196);(-122.85725326046638,38.60571955384309);(-122.85776960250013,38.605963505475906) l(r:p10udt6142lv-p10ulv21225);(-122.85725326046638,38.60571955384309);(-122.85673693985163,38.60546658798938) l(r:p10udt6143lv-p10ulv21197);(-122.85867064539546,38.603306068164834);(-122.85877390043476,38.60336026460454) l(r:p10udm21031-p10ulv21198);(-122.85200817868073,38.603991753666634);(-122.85201938573539,38.6041269472818) l(r:p10udm21031-p10udt6144lv);(-122.85200817868073,38.603991753666634) l(r:p10udm21032-p10ulv21230);(-122.8523183569249,38.60394708569318);(-122.85232958302338,38.60407326734123) l(r:p10udm21032-p10udt6144lv);(-122.85221495805838,38.60396497908904);(-122.8523183569249,38.60394708569318) l(r:p10udt6146lv-p10ulv21201);(-122.85588573765997,38.60038279459462);(-122.8563339944268,38.6001940943847) l(r:p10udt6150lv-p10ulv21209);(-122.8582121852025,38.60283689142206);(-122.85833854993473,38.60281902052408) l(r:p10udm21045-p10ulv21212);(-122.85918590072396,38.60409974212491);(-122.85908237995723,38.60418072518601) l(r:p10udm21045-p10udt6153lv);(-122.85918590072396,38.60409974212491) l(r:p10udm21046-p10ulv21268);(-122.85872656639454,38.60407215262943);(-122.85869175890227,38.60425234987108) l(r:p10udm21046-p10udt6153lv);(-122.85884139552991,38.60408130315782);(-122.85872656639454,38.60407215262943) l(r:p10udt6154lv-p10ulv21213);(-122.85046339882476,38.60680152166582);(-122.8504058423002,38.606864531813216) l(r:p10udt6154lv-p10ulv21250);(-122.85046339882476,38.60680152166582);(-122.85052078698051,38.606819618901234) l(r:p10udt6156lv-p10ulv21220);(-122.85619365011823,38.6014646095351);(-122.85659563516195,38.60144707887531) l(r:p10udt6157lv-p10ulv21223);(-122.85071001976094,38.60417935602581);(-122.85076652868078,38.60462101425031) l(r:p10udt6157lv-p10ulv21279);(-122.85071001976094,38.60417935602581);(-122.850778647975,38.604314622991815) l(r:p10udt6158lv-p10ulv21227);(-122.85414496452584,38.603679017628465);(-122.85423633100432,38.60393146644394) l(r:p10udm21054-p10ulv21242);(-122.85436322683357,38.6036522535634);(-122.85436355468102,38.6034900386222) l(r:p10udm21057-p10ulv21281);(-122.8546849049521,38.60359858165961);(-122.8547420736291,38.60372482012594) l(r:p10udm21054-p10udm21057);(-122.85446662472367,38.603634358266554);(-122.85458150716691,38.60361647714965);(-122.8546849049521,38.60359858165961) l(r:p10udm21054-p10udt6158lv);(-122.85425982889235,38.60367014876876);(-122.85436322683357,38.6036522535634) l(r:p10udm21055-p10ulv21243);(-122.85382328565062,38.60373268804584);(-122.8538231028362,38.60382280745226) l(r:p10udm21056-p10ulv21246);(-122.85360502290182,38.60375945110263);(-122.85359330024271,38.60387659196163) l(r:p10udm21055-p10udm21056);(-122.85370842113203,38.603741556476685);(-122.85360502290182,38.60375945110263) l(r:p10udm21055-p10udt6158lv);(-122.85404156649261,38.60369691264069);(-122.8539266837888,38.603714793226786);(-122.85382328565062,38.60373268804584) l(r:p10udt6161lv-p10ulv21239);(-122.85550005866834,38.60372575851295);(-122.85546560480216,38.60372571596549) l(r:p10udm21061-p10ulv21257);(-122.85555733726248,38.603797924933694);(-122.8553504148637,38.60389680095024) l(r:p10udm21061-p10udt6161lv);(-122.85555733726248,38.603797924933694) l(r:p10udt6162lv-p10ulv21240);(-122.85295691846257,38.60619186817604);(-122.8539331081302,38.606211114624905) l(r:p10udt6163lv-p10ulv21256);(-122.85618049322267,38.60230270626179);(-122.85642164757698,38.60231201434241) l(r:p10udt6166lv-p10ulv21263);(-122.85618049322267,38.60230270626179);(-122.8558475719441,38.60223921288759) l(r:p10udt6206lv-p10ulv21393);(-122.87235837479926,38.60437619779701);(-122.87238118465817,38.60446634231425) l(r:p10udm21124-p10ulv21337);(-122.8724848185065,38.604313251893274);(-122.87250774011915,38.604340312759746) l(r:p10udm21124-p10udt6206lv);(-122.8724848185065,38.604313251893274) l(r:p10udt6208lv-p10ulv21340);(-122.87862358203616,38.600805112593);(-122.87862364274889,38.600769064775434) l(r:p10udt6214lv-p10ulv21348);(-122.87257088497873,38.60110508549593);(-122.87277753716833,38.60114135820733) l(r:p10udt6215lv-p10ulv21349);(-122.87322524730453,38.6012409757183);(-122.8731449687079,38.60117780494323) l(r:p10udm21152-p10ulv21361);(-122.87339770080611,38.60113301877705);(-122.87329437453957,38.60111488301422) l(r:p10udm21153-p10ulv21364);(-122.87331758059652,38.60097972859692);(-122.87323701687525,38.6010787730228) l(r:p10udm21152-p10udm21153);(-122.87331758059652,38.60097972859692) l(r:p10udm21152-p10udt6215lv);(-122.87339770080611,38.60113301877705) l(r:p10udt6217lv-p10ulv21355);(-122.87609656955712,38.601090847457385);(-122.87610803827603,38.60109987156871) l(r:p10udt6218lv-p10ulv21356);(-122.87763701476733,38.60017324728307);(-122.87757959443596,38.6001731872319) l(r:p10udt6226lv-p10ulv21379);(-122.87215777790372,38.607394986023934);(-122.87221525192817,38.60736801291908) l(r:p10udt6227lv-p10ulv21722);(-122.87159525935695,38.607250178794935);(-122.871514895158,38.6072320666678) l(r:p10udm21173-p10ulv21383);(-122.87166391367059,38.607394445458496);(-122.87168702854423,38.60731336317048) l(r:p10udm21173-p10udt6227lv);(-122.87166391367059,38.607394445458496) l(r:p10udt6231lv-p10ulv21397);(-122.87291045265275,38.60391718846313);(-122.87294479540459,38.60398030950775) l(r:p10udm21179-p10ulv21411);(-122.87280728159251,38.603808932795474);(-122.87276132710528,38.60381789480482) l(r:p10udm21179-p10udt6231lv);(-122.87280728159251,38.603808932795474) l(r:p10udt6232lv-p10ulv21398);(-122.87284117891639,38.60412438840005);(-122.87290992813377,38.60421458272649) l(r:p10udt6323lv-p10ulv21663);(-122.86998155917505,38.610447641766015);(-122.86994728102982,38.61034847217447) l(r:p10udt6324lv-p10ulv21674);(-122.87008805064619,38.60871746450521);(-122.86997319639893,38.60871733694333) l(r:p10udm21378-p10ulv21689);(-122.86988170362419,38.608500948269764);(-122.86989300999534,38.60860009237316) l(r:p10udm21378-p10udt6324lv);(-122.87007666273092,38.608663380118706);(-122.87004238529667,38.60856421052598);(-122.86988170362419,38.608500948269764) l(r:p10udm21379-p10ulv21696);(-122.87013373243366,38.608861706523996);(-122.87000739250853,38.60886156624859) l(r:p10udm21379-p10udt6324lv);(-122.87013373243366,38.608861706523996) l(r:p10udt6325lv-p10ulv21704);(-122.86151554314883,38.61098765829688);(-122.86157271231102,38.61112290523233) l(r:p10udt3720-p10udt3730);(-122.86252085979424,38.60781663534282);(-122.86263571257071,38.60781677021636);(-122.86273908007018,38.60781689150617);(-122.86284243041435,38.60782602464258);(-122.8629573003489,38.607817147262566);(-122.8630606678503,38.60781726826832);(-122.86312232429901,38.607836008883254);(-122.8631754179446,38.607871474240085);(-122.8632638286465,38.60792828886675);(-122.86330089853996,38.608012962381224);(-122.86328982621022,38.608105918854804) l(r:p10udt3722-p10udt3752);(-122.86543812055304,38.6078200262002);(-122.86555297334883,38.60782015821059);(-122.86566782614534,38.60782029010826);(-122.86578267894264,38.6078204218932);(-122.86588604646084,38.60782054040326);(-122.86600088249867,38.60782968391312);(-122.86611573531249,38.60782981537121);(-122.86623058812707,38.60782994671657);(-122.86633395566082,38.60783006483102);(-122.86644880847682,38.60783019596221);(-122.86656366129357,38.60783032698068);(-122.86656382819808,38.60774020758712);(-122.86655249315709,38.60765908703503);(-122.86654122806814,38.60758809631512);(-122.86651859110165,38.60750780004027);(-122.86644202290744,38.60745852834613);(-122.86637256593487,38.607403907328965);(-122.86627738228995,38.607370390306805) l(r:p10udt3722-p10udt3737);(-122.86442741598268,38.60781885964821);(-122.86454226877181,38.60781899265057);(-122.8646571215617,38.60781912554019);(-122.86477195743777,38.60782827025572);(-122.8648753418646,38.60781937771991);(-122.8649901946567,38.607819510282646);(-122.86510504744952,38.60781964273264);(-122.86521990024312,38.60781977506993);(-122.86532325091238,38.607828906015925) l(r:p10udt3737-p10udt3754);(-122.86364641703668,38.60781795224288);(-122.86376126982066,38.60781808601174);(-122.86386465435496,38.607809194369025);(-122.863979507126,38.60780932792366);(-122.8640943598978,38.60780946136557);(-122.8642091956854,38.60781860663322);(-122.86431254622225,38.60782773847161) l(r:p10udt3720-p10udt3754);(-122.8625208769904,38.607807623405);(-122.8626357297525,38.6078077582785);(-122.86273909723906,38.60780787956827);(-122.86284244757029,38.60781701270465);(-122.86295731749048,38.60780813532459);(-122.86306068497895,38.6078082563303);(-122.86312660572118,38.607827646498045);(-122.86324647760392,38.607835583490974);(-122.8632900526619,38.607811093045854);(-122.86341672855515,38.60780867242886);(-122.86353154718373,38.60782683029948) l(r:p10udt3720-p10udt4685);(-122.8617736597579,38.60815820953286);(-122.86179678600362,38.608077129229905);(-122.86179701374152,38.607995026676235);(-122.86180865461526,38.60791393280388);(-122.86182029546255,38.60783283892921);(-122.86192360809815,38.6078249441209);(-122.86202697560626,38.607825066039744);(-122.86211885783622,38.60782517433538);(-122.86223150953828,38.6077984461444);(-122.86231576611078,38.60780747283425);(-122.86241747508699,38.60782552579801) l(r:p10udt3832-p10udt3835);(-122.86304297343754,38.605041563823335);(-122.86313486923956,38.60503265937245);(-122.86323823274711,38.60503278021403);(-122.86335308108958,38.60503291437541);(-122.8634576957045,38.60504210356598);(-122.8635712758793,38.60504218091398);(-122.86367462235222,38.60505131331267);(-122.86378947072633,38.60505144704582);(-122.86378923222442,38.60517761424013);(-122.86362834188316,38.60523149863482);(-122.86347893617638,38.60528539620617) l(r:p10udt3832-p10udt3837);(-122.86305445827306,38.60504157726371);(-122.8631348863572,38.60502364743011);(-122.86323824985185,38.60502376827167);(-122.86335309817996,38.60502390243299);(-122.86345898096512,38.60503314822276);(-122.86357129294235,38.605033168971495);(-122.86367463940239,38.60504230137016);(-122.86378948776212,38.605042435103265);(-122.86385841380549,38.60503350334643);(-122.86388148562037,38.604979458410085);(-122.86388163883827,38.604898350925275);(-122.86388179205554,38.60481724343934) l(r:p10udt3825-p10udt3832);(-122.86260808527015,38.60424743917024);(-122.86266648033353,38.604127679230615);(-122.86285096426307,38.60414022170649);(-122.86289196506779,38.60416986613059);(-122.86303491180445,38.60416744706678);(-122.86304442938808,38.604275548679006);(-122.86304427523123,38.604356656169635);(-122.8630441039451,38.60444677560234);(-122.86303246503333,38.60452786964933);(-122.86303229373137,38.604617989079365);(-122.863032139559,38.60469909656519);(-122.86304345305089,38.604789229433955);(-122.86304329889012,38.60487033691745);(-122.86303164277705,38.60496044290076) l(r:p10udt3838-p10udt3873);(-122.86219549128336,38.60378790604054);(-122.8620461910252,38.60378773013666);(-122.86204594948177,38.60391389734908) l(r:p10udt3843-p10udt3851);(-122.86152020118884,38.60258851755059);(-122.86141952027488,38.60250390844067);(-122.86136118521073,38.602421478519034);(-122.86130391923041,38.60234030296729);(-122.86127980853381,38.60218269436204) l(r:p10udt3849-p10udt3851);(-122.86164601989105,38.60303024878728);(-122.86156620346163,38.60286178078369);(-122.86151999337122,38.602696660895525) l(r:p10udt3849-p10udt3859);(-122.86164634022974,38.603039257235935);(-122.86115167449836,38.60311978685613) l(r:p10udt3848-p10udt3866);(-122.86340901428055,38.60123019938835);(-122.86351204424643,38.60124828905832);(-122.86359441429909,38.60127593506127);(-122.86368171455975,38.601302344025726);(-122.86377353737255,38.601329486851924);(-122.86387049436962,38.601357586997075);(-122.86396230031234,38.60139374162343);(-122.86405410634734,38.60142989617771);(-122.86414684542584,38.60147548212651);(-122.86421693017601,38.60152134225124);(-122.8642865015152,38.60157604552003);(-122.86435455488403,38.601620633496076);(-122.86442412642359,38.60167533668249);(-122.86449217997139,38.60171992457807);(-122.86438941211888,38.60181420885337);(-122.86425997935501,38.601751985492854);(-122.86416332220776,38.601690418644395) l(r:p10udt3848-p10udt3852);(-122.86250922573979,38.60121090784246);(-122.862589276535,38.60120202534951);(-122.86266968327232,38.6011931077642);(-122.8627632156588,38.601175102973386);(-122.86293551322765,38.6011572809591);(-122.86302881126866,38.60115745978439);(-122.86312201127801,38.601175792249066);(-122.86321385082303,38.60119392356604);(-122.86331437272347,38.60122080784538) l(r:p10udt3846-p10udt3856);(-122.86215965025136,38.600559349988);(-122.86207945335211,38.60050524388629);(-122.86198826659582,38.60045143174862);(-122.86190677032934,38.60038741467603);(-122.86183461803843,38.600326885273596);(-122.86174284910328,38.600272705077735);(-122.86165444897831,38.600215889247174);(-122.86156390873242,38.60016254751352);(-122.86148304167399,38.60010801282991);(-122.86139877748715,38.60003798687745) l(r:p10udt3846-p10udt3874);(-122.8626718571001,38.60090463674954);(-122.86259434170428,38.600847326893586);(-122.86250439789715,38.60079449410529);(-122.8624229007841,38.600730477394315);(-122.8623323593223,38.60067713625763);(-122.86225897795565,38.600615768522744) l(r:p10udt3852-p10udt3874);(-122.86250797460787,38.60120194952396);(-122.86258766943159,38.60119310206911);(-122.86266807615912,38.60118418448487);(-122.8627591993498,38.60116666009463);(-122.86280937556326,38.60105800150609);(-122.86276515231879,38.601017526432805);(-122.8627406420053,38.60096780122571) l(r:p10udt3852-p10udt3857);(-122.8618784847797,38.60144342596118);(-122.86189012588707,38.60137122136547);(-122.86190174688656,38.60129024995933);(-122.86201665515333,38.601219284959704);(-122.86210854620404,38.6012103813001);(-122.86220182707777,38.60121957273267);(-122.862303760802,38.601219623120976);(-122.86240710163034,38.60122875663453) l(r:p10udt3847-p10udt3865);(-122.86074347207251,38.60044374278378);(-122.8607321447085,38.600362621580864);(-122.8607095346689,38.60028244671259);(-122.86070942174007,38.600199383881645);(-122.86069811187133,38.60010925072317);(-122.86066408622798,38.60003005745962);(-122.86067556422395,38.59997256277587);(-122.86068699365791,38.59991998612087) l(r:p10udt3847-p10udt3858);(-122.86111798425453,38.60083925679093);(-122.86101467891181,38.600812098243686);(-122.86090998787898,38.60080299515728);(-122.86080666526097,38.60078484837452);(-122.86078893845638,38.60068712004089);(-122.86076632825282,38.600606945187735);(-122.86076621525918,38.60052388236134) l(r:p10udt3863-p10udt3875);(-122.86058023780406,38.60167719287985);(-122.86059156519916,38.60175831407926);(-122.86059139086171,38.60184843354277);(-122.86060271829568,38.60192955473881);(-122.8606255301062,38.60201068961051);(-122.86063684017532,38.602100822747026);(-122.86065965207786,38.60218195760987);(-122.86068239134765,38.602271207622955);(-122.86070498891789,38.60235128397371);(-122.86070508441748,38.602443358719434) l(r:p10udt3858-p10udt3875);(-122.86111433616622,38.600847801965706);(-122.86101103081265,38.60082064341527);(-122.86090747963573,38.60081178954341);(-122.86080415700573,38.600793642758454);(-122.86073288214588,38.60078509922242);(-122.86066422372645,38.600793997423324);(-122.86057553021777,38.600829110863806);(-122.86055822631006,38.60087795134368);(-122.86052263588496,38.60092475555918);(-122.86053553595218,38.60100935727318);(-122.8605468501383,38.601090379989316);(-122.86053527728511,38.601172469117124);(-122.86054658711295,38.601262602274836);(-122.86055791440643,38.60134372348308);(-122.86055775746722,38.60142483100594);(-122.8605690847982,38.60150595221093);(-122.8605803947194,38.60159608536041) l(r:p10udt3857-p10udt3858);(-122.86186707266658,38.60144241728625);(-122.86187873246226,38.60137009012206);(-122.86189033479735,38.601289241286686);(-122.86181154732591,38.60116944232582);(-122.8617245710582,38.60113688107947);(-122.86165278815866,38.601081036307065);(-122.86157392894023,38.601036844130626);(-122.86151181681599,38.60097536549471);(-122.86143563302538,38.60093713866003);(-122.86136899387344,38.600911161197196);(-122.8613016268271,38.600893546392896);(-122.86122493775194,38.60085787006887) l(r:p10udt3857-p10udt3862);(-122.86201601352832,38.602187594988735);(-122.86180984478926,38.60184472460367);(-122.86182045867608,38.60175877632593);(-122.86183209989348,38.6016865717401);(-122.86185541037091,38.60160465437852);(-122.86187825717788,38.60152552860738) l(r:p10udt3849-p10udt3862);(-122.8620134780645,38.602896198233644);(-122.86200223063489,38.602769968341924);(-122.86197958904306,38.60265351434324);(-122.86203708743554,38.60254406821552);(-122.86207174773531,38.60243596547696);(-122.86206043581973,38.60234583248085);(-122.86207209271416,38.60225572655538) l(r:p10udt3849-p10udt3850);(-122.86203619024722,38.603012689376165) l(r:p10udt3850-p10udt3873);(-122.862195474049,38.603796917984425);(-122.86204617377214,38.6037967420805);(-122.86202355116504,38.603626017289315);(-122.86203529303806,38.60348131049917) l(r:p10udt3844-p10udt3860);(-122.86296864638935,38.60185124127932);(-122.86316387994977,38.601851469720586);(-122.863347612096,38.60186069637395);(-122.86354286274981,38.60185191223567);(-122.86354305046093,38.60175278081347) l(r:p10udt3860-p10udt3871);(-122.86295716206232,38.60185122783145);(-122.86278487999854,38.60186003792535);(-122.8625781449045,38.601868807313714);(-122.8625438981909,38.60176062348682) l(r:p10udt3860-p10udt3876);(-122.86297798877385,38.602977748015505);(-122.86297828008507,38.602824544940916);(-122.86297858852983,38.602662329916384);(-122.86297889697211,38.60250011488734);(-122.86297920541189,38.602337899853794);(-122.86296802947048,38.60217567136883);(-122.86297982228403,38.60201346977314) l(r:p10udt3867-p10udt3876);(-122.86296650426729,38.60297773456822);(-122.86296679560294,38.60282453149371);(-122.86296710407355,38.602662316469264);(-122.86278399287404,38.602680110293136);(-122.86258809983603,38.60267088402761) l(r:p10udt3873-p10udt3876);(-122.86251561184896,38.60375231375778);(-122.86273475134251,38.603743492438895);(-122.86295297642455,38.60373473623654);(-122.86296535604062,38.60358153488152);(-122.86296566452279,38.60341931987812);(-122.86297744041731,38.60326613026263);(-122.86297771459658,38.60312193914084) l(r:p10udt3780-p10udt3810);(-122.86562778427054,38.604657045931944);(-122.86562761621374,38.604747165369666);(-122.86562744815616,38.604837284806);(-122.86562729690371,38.604918392297506);(-122.86562712884474,38.60500851173118);(-122.86561547593915,38.605098617975536);(-122.86561530786429,38.6051887374064);(-122.86560365491411,38.60527884364672);(-122.86560348682339,38.60536896307476);(-122.86561482044294,38.60545008374801);(-122.86560316744894,38.60554018998418) l(r:p10udt3726-p10udt3745);(-122.86676367727402,38.605253134227716);(-122.86693595033985,38.60525333023864);(-122.86693601690935,38.60521728246492);(-122.86692471512526,38.6051181380266);(-122.866913430017,38.60500998164179);(-122.86702827834051,38.605010112196275);(-122.86714312666474,38.605010242638045);(-122.86725797498974,38.6050103729671) l(r:p10udt3731-p10udt3775);(-122.86660187134011,38.605802679569585);(-122.86639514208804,38.60580244373307);(-122.86618841283834,38.60580220753135);(-122.86599380947699,38.60581999322203);(-122.86579790747717,38.60581077231826) l(r:p10udt3740-p10udt3813);(-122.86855158520355,38.607300871272116);(-122.86873536479479,38.60729206560224);(-122.86890764274936,38.60729225871919);(-122.86907992070559,38.60729245158251) l(r:p10udt3788-p10udt3803);(-122.86714083354,38.60625389075725);(-122.86711756431326,38.606416079629135);(-122.86709429498072,38.606578268491695);(-122.86707102554239,38.60674045734494);(-122.86685836534842,38.60684714633418);(-122.86664602650484,38.606767009690365);(-122.86643942812857,38.60669467839939);(-122.86624429852726,38.606631371812355);(-122.86627895450299,38.60652326789551);(-122.8663250954303,38.60641517708602);(-122.86612994994235,38.60636088224825);(-122.86594627302107,38.606315612183415) l(r:p10udt3828-p10udt3829);(-122.86644425566278,38.60409022687062);(-122.86662801063171,38.604090436436216);(-122.86662829419423,38.603937233367745);(-122.86678907945178,38.603937416499974);(-122.86692684545973,38.603964609130855);(-122.86697285067059,38.603928613577985);(-122.86707609624219,38.603991814645006);(-122.86705284424217,38.60414499162276);(-122.86697236819485,38.60418995999052);(-122.86697203544932,38.60437019888889);(-122.86698318745992,38.604550450836356);(-122.86697138658698,38.604721664724686) l(r:p10udt3828-p10udt3834);(-122.86644427236597,38.604081214925586);(-122.86662802731192,38.60408142449113);(-122.86661680953301,38.603937220278425);(-122.86678909611172,38.60392840455459);(-122.8669296470458,38.60395586942697);(-122.86696474155448,38.60392223193872);(-122.86708316026917,38.60398470905446);(-122.86719089311438,38.604018980873235);(-122.86730570666884,38.604037135043484);(-122.86740898585353,38.60408231192571);(-122.86751228173756,38.60411847677133);(-122.86765685311556,38.6041925384598);(-122.86777185114072,38.60426493657441);(-122.86787936221936,38.60435320283848) l(r:p10udt3828-p10udt3836);(-122.86644428906914,38.604072202980554);(-122.86662804399212,38.60407241254603);(-122.86663922845602,38.60423464064412);(-122.86662747686118,38.60437881867182);(-122.86662720997303,38.604523009784266);(-122.86662699312502,38.604640165060516);(-122.86662677627575,38.60475732033439) l(r:p10udt3861-p10udt3868);(-122.86542785150486,38.602499809552604);(-122.86535906278131,38.6024366466655);(-122.86528752034584,38.60236761565742);(-122.86521873187137,38.60230445268686) l(r:p10udt3855-p10udt3861);(-122.86595192300865,38.60327858714164);(-122.86594065773497,38.60319846175423);(-122.86592932409519,38.60311734108122);(-122.86592942337417,38.603026226333746);(-122.86588363626538,38.602945066177725);(-122.8658378324812,38.60287291794952);(-122.86578052752348,38.60280976847835);(-122.8657138676065,38.60275184634319);(-122.86564570286068,38.6026893111539);(-122.86557691376538,38.60262614839622);(-122.86550537093323,38.602557117522835) l(r:p10udt3828-p10udt3855);(-122.86606522754418,38.60410781762005);(-122.86602101735683,38.604058331846666);(-122.86601959040483,38.60394555002548);(-122.86595083331473,38.60386436360808);(-122.86595100096201,38.603774244155545);(-122.86595115184392,38.60369313664706);(-122.86595131948978,38.60360301719186);(-122.86595154246457,38.60352091455854);(-122.86595162125043,38.60344080216901);(-122.8659632734616,38.603350695864336) l(r:p10udt3827-p10udt3828);(-122.86479070693588,38.604018025816266);(-122.86479074849792,38.6039351247393);(-122.86495155062845,38.603926298451455);(-122.86510083432067,38.60393548259401);(-122.86529607354522,38.60393570748841);(-122.86549129594967,38.60394494400199);(-122.86561762723099,38.60394508913762);(-122.86577841249944,38.60394527365843);(-122.86595068243152,38.60394547111419);(-122.86601960716105,38.60393653808038);(-122.86605376680882,38.60405223591269);(-122.86608495760365,38.60408955500064);(-122.86624899928276,38.604099015835956) l(r:p10udt3742-p10udt3765);(-122.86490295930362,38.60534112057591);(-122.86491422375111,38.60542124603229);(-122.86491412317622,38.6055123607392);(-122.86491395422169,38.60560248016106);(-122.86492521872142,38.60568260561293);(-122.8649251181591,38.60577372031578);(-122.864924966111,38.60585482779192) l(r:p10udt3742-p10udt3833);(-122.86466323242134,38.60456581479894);(-122.86484700549289,38.604557015219605);(-122.86486973762965,38.60464616589751);(-122.8648581522146,38.60473726735421);(-122.86486948489758,38.604818388106736);(-122.86488074539116,38.60490762401257);(-122.86488063171402,38.60499864023131);(-122.86489189608426,38.60507876569442);(-122.86489179548288,38.6051698804066);(-122.86489164340055,38.605250987891026) l(r:p10udt3827-p10udt3833);(-122.86483621381888,38.60418751225178);(-122.86484747417498,38.60427674817022);(-122.86484739426527,38.604349740510656);(-122.86484725904056,38.60442183606242);(-122.8648585219742,38.60449282697999);(-122.86486995811083,38.60456605368855);(-122.8646632154951,38.60457482674255);(-122.86447945930576,38.60457461408959) l(r:p10udt3831-p10udt3879);(-122.86402173545761,38.60369091067756);(-122.8642169740108,38.603691137371044);(-122.8644122125662,38.603691363738825);(-122.86461893574487,38.603691603067325);(-122.86461868051144,38.60380944949608);(-122.86461849553022,38.603925913631535);(-122.86449216428773,38.603925767417394);(-122.86437731770445,38.60392563437711);(-122.86439994982152,38.604096414261804);(-122.86439964258419,38.60426811487571) l(r:p10udt3845-p10udt3879);(-122.86421729665801,38.60351991041718);(-122.86441253474946,38.603520136783565);(-122.86461927436804,38.603511364165385);(-122.86478027850411,38.60339439476609);(-122.86498518009292,38.603358706099534);(-122.86510189718584,38.60336773004646) l(r:p10udt6140-p10udt6142);(-122.8575626177571,38.603763579377855);(-122.85739079308411,38.60370940935563);(-122.85720682641471,38.60364599025888);(-122.8570278353261,38.603565419631806);(-122.85686721207014,38.60348411560978);(-122.85676374333117,38.603538060798535);(-122.85672914620983,38.60361011416022);(-122.85668304647966,38.603691165388454);(-122.85664844920373,38.60376321872409);(-122.85663680320901,38.603844312130924);(-122.85663664184224,38.60392541960532);(-122.85662499580745,38.604006513008656);(-122.85662483442646,38.60408762048073);(-122.85661318835159,38.60416871388057);(-122.85676205942379,38.60438518330228);(-122.85684238090167,38.60442132943819);(-122.8569227203545,38.604448463578066);(-122.85699152146869,38.60450261945111);(-122.85706032268628,38.604556775283406);(-122.85712910613876,38.604619943015614);(-122.85719790757176,38.604674098766345);(-122.85726670910817,38.60472825447631);(-122.85725326046638,38.60571955384309) l(r:p10udt6125-p10udt6162);(-122.85294633468622,38.60575026883617);(-122.85294615076181,38.605840388207895);(-122.8529574019857,38.605920514782625);(-122.85295728628824,38.606011629441966);(-122.8529685322073,38.60610086644739);(-122.85296840347641,38.606191882606616) l(r:p10udt6124-p10udt6125);(-122.85288022188557,38.604380367606154);(-122.85288005628732,38.60446147505955);(-122.85289130722734,38.60454160165897);(-122.85289119145412,38.60463271633962);(-122.85290244243262,38.604712842935704);(-122.85289086027866,38.604794931238395);(-122.85289067629114,38.60488505062466);(-122.85290199552011,38.604966172507794);(-122.85291331477428,38.60504729438879);(-122.85291313081319,38.60513741377123);(-122.85292437654455,38.605226650793895);(-122.852924247763,38.6053176669666);(-122.8529354988828,38.60539779355039);(-122.8529353831606,38.60548890821781);(-122.85293519922422,38.60557902759353);(-122.85293503368075,38.605660135030476) l(r:p10udt6124-p10udt6158);(-122.8540440400367,38.60370571308801);(-122.85392891819457,38.6037236329731);(-122.85382575916923,38.60374148849767);(-122.85370954568995,38.60375052512131);(-122.8536074963947,38.60376825155898);(-122.8534901399913,38.60377733125957);(-122.85338921512357,38.60380402615319);(-122.8532740929635,38.603821945393186);(-122.85316972857338,38.603830946346115);(-122.85306755350975,38.6038486825496);(-122.85295243119934,38.60386660147273);(-122.85284927173001,38.603884456135205);(-122.85285811735054,38.60395677756418);(-122.85285795172992,38.60403788502341);(-122.8528691972257,38.604127122067695);(-122.85286908678194,38.60420912631777);(-122.85286892117216,38.604290233773526) l(r:p10udt6121-p10udt6131);(-122.85172989503845,38.60528011197784);(-122.8517297095957,38.60537023135189);(-122.85172952415213,38.60546035072454);(-122.85172933870776,38.60555047009581);(-122.8516144710396,38.60555933646231);(-122.85151110682904,38.60555920535245);(-122.8513962391208,38.60556807150464);(-122.85129287489872,38.60556794020201);(-122.8511894920653,38.60557682074487);(-122.85108612783165,38.60557668925961);(-122.8509712600446,38.605585554994455);(-122.85095962600214,38.60565763586112);(-122.85094799193615,38.60572971672571);(-122.85093635784668,38.6058017975882);(-122.85092472373367,38.60587387844857);(-122.85091308959719,38.60594595930686) l(r:p10udt5489-p10udt6154);(-122.85014485173085,38.606491200162715);(-122.84962493434891,38.60646698566862);(-122.8496258698282,38.60637688638496) l(r:p10udt6127-p10udt6154);(-122.85126950183039,38.60655012353409);(-122.85115627185402,38.60657672595435);(-122.85105205983488,38.60659478274397);(-122.85094865685589,38.60661267500462);(-122.85074346682536,38.60667509901894);(-122.8506534186356,38.606719286864866);(-122.85055537316349,38.60675657936478);(-122.85046339882476,38.60680152166582) l(r:p10udt6127-p10udt6131);(-122.85174137990631,38.60528012652856);(-122.85174119447795,38.605370245902655);(-122.85174100904877,38.605460365275356);(-122.85174082361873,38.605550484646656);(-122.85172915326251,38.60564058946566);(-122.8517404031022,38.605720716157386);(-122.8517288009143,38.605811816264584);(-122.8517286340115,38.6058929236939);(-122.85172844856312,38.60598304305847);(-122.85172826311391,38.60607316242165);(-122.85172809620892,38.60615426984733);(-122.85172791075814,38.60624438920787);(-122.8515882498212,38.6064647914386);(-122.85152782054067,38.60650350753541);(-122.85144336511053,38.60652305232823);(-122.85134831008561,38.606532290325056) l(r:p10udt6131-p10udt6144);(-122.85201065198633,38.60400055415601);(-122.85190479819548,38.60400063484851);(-122.85180139915057,38.60401852787844);(-122.8516980000546,38.604036420816854);(-122.85169781458022,38.60412654020997);(-122.85170913234948,38.60420766221613);(-122.8517089468879,38.604297781606654);(-122.85170876142546,38.60438790099579);(-122.85172006070223,38.60447803493606);(-122.85171987525251,38.604568154322465);(-122.85171968980198,38.604658273707464);(-122.85171950435057,38.60474839309106);(-122.85171931889839,38.604838512473286);(-122.85171913344533,38.60492863185411);(-122.85173037766587,38.60501786899169);(-122.85173026592143,38.60509987322556);(-122.85171859562685,38.60518997805061) l(r:p10udt5478-p10udt5479);(-122.84867905887653,38.604491951580535);(-122.8485756583346,38.60450984175578);(-122.84848403667124,38.60452769261721);(-122.84837786896612,38.604536836147595);(-122.8482859530363,38.604554740945886);(-122.84819403706102,38.60457264567184);(-122.84809063628421,38.60459053541779);(-122.84799872021523,38.60460843999003);(-122.84801003389276,38.604689562338905);(-122.84802132858773,38.60477969662232);(-122.84803264231698,38.604860818966756);(-122.84804393706638,38.604950953245485);(-122.84805525084732,38.605032075585434);(-122.84806654565115,38.605122209859445);(-122.84808927619127,38.60520235181101);(-122.84810058410334,38.60529258457712);(-122.84811188496072,38.60537360840922);(-122.84812319292726,38.60546384117062);(-122.84813448788199,38.60555397543171);(-122.84814578881755,38.605634999257056);(-122.8481570968665,38.60572523201131);(-122.8481683978537,38.60580625583221);(-122.84817970595714,38.605896488581756);(-122.84817959016702,38.60597849279169) l(r:p10udt5476-p10udt5482);(-122.84432303318408,38.6048649548891);(-122.84425422179228,38.60481980352543);(-122.84420839953796,38.60476567076679);(-122.84416257735239,38.60471153798985);(-122.84415128749596,38.604621403343046);(-122.84413997817437,38.60454028062926);(-122.84410566041757,38.604477151188156);(-122.84407134272072,38.60441402173643);(-122.84401397761805,38.604386909402564);(-122.84394510832435,38.60436879366174);(-122.84384172632828,38.60437766771693);(-122.84372685958589,38.60438652634488);(-122.84362347753815,38.60439540020712) l(r:p10udt5482-p10udt5488);(-122.84683801254288,38.6049583931129);(-122.84673457254193,38.60499430552941);(-122.84663113243818,38.60503021785404);(-122.84651620740051,38.605066115024506);(-122.84641278629447,38.605093015219694);(-122.84630934588937,38.60512892725868);(-122.84620590538145,38.60516483920579);(-122.84609097991842,38.605200735956934);(-122.84589594944353,38.605101347727285);(-122.84583867950946,38.60502917661156);(-122.8457237926718,38.605047049132345);(-122.84560892508718,38.60505590960489);(-122.84550554230493,38.605064785127254);(-122.84539065532897,38.60508265732058);(-122.84527578764771,38.60509151746588);(-122.84516091993856,38.60510037749836);(-122.84504605220155,38.60510923741801);(-122.84495423167976,38.60508207991978);(-122.84486241122738,38.60505492234953);(-122.84477059084442,38.60502776470726);(-122.84467878995683,38.60499159505797);(-122.84458696972419,38.604964437271654);(-122.84449514956096,38.60493727941328);(-122.84439184466217,38.60491010621215) l(r:p10udt5483-p10udt5490);(-122.84630691058261,38.6059278241973);(-122.84633045126787,38.60600311509743);(-122.84636475248259,38.60607525580917);(-122.84637608375921,38.6061473663584);(-122.84637593004348,38.60621946182925);(-122.84638724214034,38.60630058430938);(-122.84639857347413,38.606372694853775) l(r:p10udt5485-p10udt5490);(-122.84528847621925,38.60577067389545);(-122.84535762443004,38.605707585984675);(-122.84541506193538,38.60565368570254);(-122.84548141310262,38.60560547088804);(-122.84561923210026,38.605605652782174);(-122.84568800649865,38.60566882720923);(-122.84579129368966,38.60570501120026);(-122.84588311532221,38.60573216804111);(-122.84597491775982,38.605768336744276);(-122.84607822448969,38.60579550854773);(-122.84617002710635,38.6058316770978);(-122.8462618490439,38.60585883364151) l(r:p10udt5485-p10udt5488);(-122.84684162618755,38.60496694733926);(-122.84673921945286,38.60500254684926);(-122.84663577933952,38.60503845917794);(-122.84652045493698,38.60507448799083);(-122.8464163998953,38.605101569458895);(-122.84631399276022,38.60513716859514);(-122.84621055224275,38.60517308054629);(-122.8460952274133,38.6052091089384);(-122.8459185336966,38.60528161667642);(-122.84583802395471,38.605335582407456);(-122.84576899897442,38.60538956321924);(-122.84568848899997,38.60544352884592);(-122.84561946380428,38.60549750956806);(-122.84553897291603,38.6055424631559);(-122.8454907475312,38.60561072130807);(-122.8454414936984,38.605671028563094);(-122.84538395303505,38.60572502431137);(-122.84531470166645,38.60578820769077);(-122.84523974595399,38.60583045053505) l(r:p10udt5478-p10udt5488);(-122.84868153179227,38.60450075213872);(-122.8485781312383,38.60451864231609);(-122.84848680374778,38.60453643908696);(-122.8483803418466,38.60454563671202);(-122.84828872008981,38.60456348742023);(-122.84819680410405,38.60458139214829);(-122.8480931091314,38.60459933598813);(-122.84800148723589,38.60461718647102);(-122.84789530031573,38.604635341499986);(-122.84778037653419,38.60467123991694);(-122.84767695646362,38.60469814123329);(-122.8475735172524,38.60473405439458);(-122.84747007793831,38.604769967463994);(-122.84736665761096,38.60479686850515);(-122.8472632181041,38.60483278139095);(-122.84714829369449,38.604868679184655);(-122.84704487310619,38.60489557994044);(-122.84694143329804,38.604931492540565) l(r:p10udt5478-p10udt5492);(-122.84888091392942,38.604438569847446);(-122.84898551885288,38.60442953343661);(-122.84908769573366,38.60441180080259);(-122.84919356898419,38.60440271072172);(-122.84929695037277,38.6043938319374);(-122.84940035057116,38.604375941123806);(-122.8495150472318,38.60444818436674);(-122.84951485902454,38.60453830374454);(-122.8495261555793,38.60462843788882);(-122.8495259862042,38.604709545326436);(-122.84953728279902,38.6047996794671);(-122.84954852429715,38.604888916810786);(-122.84954841007027,38.6049709210394);(-122.84955965160823,38.60506015837949);(-122.84955951857751,38.605151174542506);(-122.84957076592919,38.605231301444476);(-122.84957064595318,38.605322416102595);(-122.84957045780958,38.60541253546712) l(r:p10udt5478-p10udt6120);(-122.85027594349721,38.604250682568455);(-122.850160819859,38.60426859874144);(-122.85005765913014,38.60428645093931);(-122.84994253538119,38.604304366897246);(-122.84982765070441,38.60432224345351);(-122.8497220167794,38.604331294820554);(-122.84961861672404,38.6043491859188);(-122.84950375071847,38.60435805021868);(-122.84940282357111,38.60438474166709);(-122.8492994233607,38.60440263248284);(-122.84919481851475,38.604411669174475);(-122.84909511462436,38.60443820245171);(-122.84899171426169,38.60445609299509);(-122.84888588585378,38.6044652873133);(-122.84877998644023,38.60446526075765) l(r:p10udt6120-p10udt6122);(-122.85027841659992,38.60425948309362);(-122.85016305381949,38.604277438557936);(-122.85006013220739,38.604295251469);(-122.849944769316,38.6043132067178);(-122.84995159849692,38.60438566118462);(-122.84995142960219,38.604466768627184);(-122.84995124194054,38.60455688800649);(-122.84996253904414,38.60464702210949);(-122.84997383617562,38.60473715621006);(-122.84998507820504,38.604826393513854);(-122.8499849457131,38.60491740968209);(-122.84999618778369,38.60500664698213);(-122.84998458922924,38.60508863648862) l(r:p10udt6120-p10udt6157);(-122.85059736948314,38.604206073145114);(-122.85049420895075,38.60422392572838);(-122.85037687013569,38.60422399036537) l(r:p10udt6144-p10udt6157);(-122.85201312529254,38.604009354645314);(-122.85190604805638,38.60400959327356);(-122.85180387243179,38.60402732837209);(-122.8517004733238,38.60404522131263);(-122.85159461946789,38.60404530172442);(-122.85147973560893,38.604063179905);(-122.85137633636991,38.60408107255879);(-122.85126145240041,38.604098950524744);(-122.85114880245115,38.604125668175875);(-122.85104564216898,38.60414352124601);(-122.85092942770147,38.60415255510622);(-122.85082737716048,38.60417027911582);(-122.85071225378611,38.60418819583208) l(r:p10udt6144-p10udt6158);(-122.85404651358135,38.60371451353525);(-122.85393115260086,38.603732472719365);(-122.85382823268846,38.60375028894941);(-122.85371067024816,38.60375949376593);(-122.85360996988813,38.603777052015246);(-122.85349237434542,38.603786171014015);(-122.85339168859156,38.60381282661397);(-122.85327632729245,38.60383078515164);(-122.85317097858872,38.60383990475824);(-122.85307002693996,38.603857483017045);(-122.85295466549017,38.60387544123719);(-122.85285174513477,38.60389325660715);(-122.85274341810819,38.60388453758658);(-122.85264001943584,38.603902431358584);(-122.85253662071239,38.60392032503906);(-122.85243324039327,38.6039292066882);(-122.85232059114037,38.60395592546947);(-122.85221743138835,38.60397377957413);(-122.85211157763644,38.60397386045375) l(r:p10udt6158-p10udt6161);(-122.85544276211002,38.603662604004946);(-122.85538548373795,38.603590437526876);(-122.85524779525512,38.603527183550945);(-122.85515590041841,38.60353608181254);(-122.85506400555919,38.60354498000191);(-122.85498357713577,38.603562904292495);(-122.85489168222152,38.60357180234641);(-122.85479976912559,38.60358971226943);(-122.8546860296294,38.60360755029532);(-122.85458398077424,38.60362527758579);(-122.85446885919326,38.60364319800281);(-122.85436570041541,38.60366105400407);(-122.8542623024622,38.603678949211535);(-122.85414496452584,38.603679017628465) l(r:p10udt6140-p10udt6161);(-122.85755799818068,38.603771830131855);(-122.85738651124308,38.60371777153609);(-122.85720220680518,38.60365424099894);(-122.85702268326465,38.603573473883095);(-122.85686098663581,38.60349168866572);(-122.8567639762064,38.60342090554897);(-122.85668372757532,38.60334871158562);(-122.85660347910499,38.60327651756664);(-122.8565003155552,38.60317725950153);(-122.85638564980965,38.60308699919394);(-122.85630520425305,38.603113936338545);(-122.85622475863643,38.60314087342762);(-122.85614433095057,38.603158798518635);(-122.8560753157621,38.60321278544874);(-122.85600631847831,38.60325776039511);(-122.85593732110843,38.60330273530031);(-122.85581082871217,38.60338368719583);(-122.85574184914105,38.60341965004238);(-122.85563843381811,38.603446558409715);(-122.85553503648534,38.60346445474357);(-122.85543162102138,38.60349136292766);(-122.85539627070963,38.60359353063243);(-122.85545251062261,38.60365783971515);(-122.85550005866834,38.60372575851295) l(r:p10udt5030-p10udt5032);(-122.86070298011306,38.597349050249754);(-122.86069172531163,38.59744259619301);(-122.86069160985062,38.59753181906855);(-122.86067989727191,38.59761390809488);(-122.8606568279018,38.597703005159026);(-122.860645169992,38.59779311101187);(-122.86063351205269,38.59788321686211);(-122.86062187151146,38.59796431075744);(-122.86062169723553,38.59805443027965);(-122.8606100392236,38.59814453612337);(-122.86060988236102,38.59822564369088);(-122.86062122668666,38.598297752982674);(-122.86063255360834,38.598378874224174);(-122.86068983311668,38.59845103820066);(-122.86074713015178,38.59851419019694);(-122.8608388961009,38.5985683711843);(-122.8609191783765,38.598622538452574);(-122.86101706312121,38.59866007246193);(-122.86109873125105,38.59871504098986);(-122.86118301096074,38.59877605521954) l(r:p10udt5014-p10udt5043);(-122.86143761551286,38.591783062590395);(-122.86148371956111,38.591692997337326);(-122.86151832348881,38.591611930440926);(-122.8615644100205,38.59153087711563);(-122.86159901377918,38.59144981019281);(-122.86164511741161,38.59135974487026);(-122.86167972099688,38.59127867792087);(-122.86176041054937,38.59111655755084);(-122.86178353128422,38.59103547700367);(-122.86181815183086,38.5909453980447);(-122.86184186715474,38.59086146834595);(-122.86186467018707,38.59078128226982);(-122.86189959077355,38.590699320755384);(-122.86194508158728,38.590621116403646) l(r:p10udt6214-p10udt6215);(-122.87257102839583,38.601023977928925);(-122.87267451357083,38.60095199480984);(-122.87277795081141,38.600907047454676);(-122.87288137202684,38.60087111195984);(-122.87301929328568,38.60080817790319);(-122.87314571428526,38.60075424318777);(-122.87323739731997,38.60086248616834);(-122.8733276996065,38.60097546697707);(-122.8734083260379,38.601129598965464);(-122.87322524730453,38.6012409757183) l(r:p10udt4523-p10udt6214);(-122.87214917493681,38.59865341415128);(-122.8722536720947,38.598653483538016);(-122.87236849435352,38.598662620789874);(-122.87247184876327,38.59866273346024);(-122.8725752191069,38.598653834083734);(-122.87269005732689,38.59865395906452);(-122.87275884888662,38.59871711768833);(-122.87271278622877,38.59878916338054);(-122.87267820733373,38.59886122154779);(-122.87259724684166,38.599185564446415);(-122.8725971034635,38.599266672039064);(-122.87259694415377,38.59935679158512);(-122.87259678484332,38.5994469111298);(-122.87259662553215,38.599537030673076);(-122.87259648215151,38.599618138260844);(-122.872584838849,38.59970824529899);(-122.87258467952141,38.599798364838186);(-122.8725845201931,38.59988848437599);(-122.87258436086408,38.59997860391241);(-122.87258421746738,38.600059711494005);(-122.87258405813701,38.600149831027764);(-122.87258389880593,38.60023995056015);(-122.87258373947412,38.60033007009114);(-122.87258358014162,38.60042018962074);(-122.87258343674176,38.60050129719619);(-122.87257179327719,38.60059140421914);(-122.87257163392826,38.60068152374465);(-122.8725714745786,38.600771643268764);(-122.87257131522827,38.60086176279151);(-122.87257117181233,38.60094287036079);(-122.87258251259547,38.60102399043313);(-122.87257088497873,38.60110508549593) l(r:p10udt4523-p10udt4528);(-122.8728860770214,38.59820357346972);(-122.87289740184379,38.598293705505775);(-122.87290874258564,38.59837482558324);(-122.87287402102355,38.59852799141799);(-122.8728394583159,38.598591037680805);(-122.8727934117255,38.5986540714509);(-122.87269004140794,38.598662971020175);(-122.87257520317357,38.59866284603934);(-122.87247310109082,38.59867169167819);(-122.87236847839435,38.59867163274538);(-122.87225362417408,38.59868051940446);(-122.8721502697397,38.59868040654132);(-122.87203544748081,38.59867126907538) l(r:p10udt4527-p10udt4528);(-122.87289756077179,38.59820358594224);(-122.87290883019801,38.59829282119217);(-122.87545851514187,38.59816127938473) l(r:p10udt4528-p10udt5041);(-122.87065098322982,38.595839986939836);(-122.87077702566901,38.5959933297875);(-122.87101769321532,38.596263954576045);(-122.87114378550768,38.59639026114453);(-122.87126987824172,38.59651656757517);(-122.87139597141747,38.59664287386802);(-122.8715220650349,38.596769180023024);(-122.87164815909398,38.596895486040225);(-122.87180875236419,38.59699479378644);(-122.8719808136436,38.59710312583136);(-122.87214139184854,38.597211445076866);(-122.87231345414558,38.597319776630464);(-122.87247404927875,38.59741908345974);(-122.87263462892945,38.5975274020251);(-122.87271477569831,38.59766266884004);(-122.87279492276748,38.59779793559744);(-122.87287505424152,38.59794221425418);(-122.87287491118236,38.598023321864986);(-122.87288623596307,38.59811345390483) l(r:p10udt5031-p10udt5041);(-122.87014677176748,38.594962578691195);(-122.87020392828789,38.595106833747856);(-122.87025011963803,38.59525376466488);(-122.87030727659466,38.59539801966465);(-122.87042185040552,38.595542338218216);(-122.87053640848812,38.59569566861632) l(r:p10udt5029-p10udt5031);(-122.86998779223619,38.59426215587699);(-122.86999912908117,38.59434327628563);(-122.87001044969983,38.59443340865328);(-122.8700786501363,38.594820999479076) l(r:p10udt5027-p10udt5029);(-122.86839703494263,38.59130444792755);(-122.86847223173639,38.59134209395415);(-122.86854106193428,38.59137821922128);(-122.86859796472451,38.59140508978468);(-122.86864832540343,38.59149032176842);(-122.86869410838943,38.59157148099466);(-122.86873989147833,38.591652640202035);(-122.8687856746701,38.59173379939057);(-122.86882095825258,38.59181860690534);(-122.8688782407765,38.59189076696063);(-122.86894698978625,38.59197195181027);(-122.86900427255792,38.59204411180241);(-122.86906155544412,38.592116271765825);(-122.86911882208315,38.59219744366488);(-122.86917610520558,38.592269603570735);(-122.86923338844255,38.592341763447905);(-122.86929067179409,38.592413923296306);(-122.86935942176626,38.5924951078981);(-122.86941670536555,38.59256726768337);(-122.86989645883803,38.59359211795852);(-122.8699083991193,38.59367529374811);(-122.8699197325894,38.59376552463018);(-122.86994233778839,38.59384559932746);(-122.86994246136871,38.593928662258755) l(r:p10udt5021-p10udt5027);(-122.86512458137221,38.59124665057058);(-122.86522792511911,38.591246769619175);(-122.86534273467203,38.59125591375276);(-122.86545754425443,38.591265057773796);(-122.86557237067079,38.591265189717745);(-122.8656871970879,38.59126532154902);(-122.86580202350575,38.59126545326765);(-122.86591683316291,38.59127459683825);(-122.86602017695321,38.59127471518734);(-122.86613500338763,38.5912748465793);(-122.86624982982276,38.59127497785862);(-122.86636465625864,38.59127510902527);(-122.86647946600415,38.59128425204409);(-122.86659429245589,38.59128438298549);(-122.86670911890837,38.59128451381421);(-122.86681246271624,38.59128463146374);(-122.86692728917014,38.59128476207843);(-122.86704209900397,38.59129390454546);(-122.8671569254737,38.59129403493487);(-122.86727175194419,38.59129416521162);(-122.8673865784154,38.59129429537572);(-122.86750140488736,38.59129442542718);(-122.86760473216229,38.59130355434234);(-122.86771955865001,38.59130368417979);(-122.86783438513848,38.59130381390457);(-122.8679492281351,38.59129493155137);(-122.8680640546107,38.59129506105079);(-122.8681800070928,38.591304248414524);(-122.86828219198473,38.591313330720205) l(r:p10udt5010-p10udt5021);(-122.86375932398606,38.59122709003095);(-122.86387300785347,38.591227177587335);(-122.86398783420196,38.59122731108576);(-122.86410264356303,38.591236456435546);(-122.86421746992741,38.591236589708686);(-122.86433227933303,38.59124573483328);(-122.86443562307521,38.59124585458144);(-122.86455044945616,38.59124598752795);(-122.86466527583787,38.59124612036178);(-122.86478011912386,38.59123724111872);(-122.86489607108493,38.59124643173511);(-122.86500973811265,38.59125553015168) l(r:p10udt5010-p10udt5017);(-122.86296591398767,38.591208095062136);(-122.86308072318548,38.59121724141412);(-122.86319553241275,38.591226387653506);(-122.86331037584401,38.59121750985288);(-122.86342520217445,38.591217643903285);(-122.86354002850562,38.591217777841024);(-122.86364335515871,38.59122691025252) l(r:p10udt5017-p10udt5043);(-122.86142712950426,38.591779390062555);(-122.86147306456596,38.59168963776005);(-122.86150743552271,38.59160906771828);(-122.86155392404207,38.59152720459906);(-122.86158812583433,38.59144694747763);(-122.86163446245811,38.591356385307556);(-122.86166883307459,38.59127581521315);(-122.86172580713313,38.591197624526664);(-122.86184063342425,38.59119776013162);(-122.86195545971614,38.59119789562393);(-122.86207028600879,38.591198031003586);(-122.8621736124435,38.59120716471227);(-122.86228843875197,38.59120729987792);(-122.86240326506122,38.591207434930915);(-122.86251809137126,38.59120756987125);(-122.86263290051008,38.59121671666241);(-122.86274774399358,38.591207839413954);(-122.86286255316267,38.591216985979884) l(r:p10udt5028-p10udt5043);(-122.86129984394572,38.592023633351616);(-122.86135692448457,38.5919451827166);(-122.86139152867665,38.59186411586168) l(r:p10udt5028-p10udt5034);(-122.86091927606097,38.592620562095036);(-122.8610226391334,38.59261167289983);(-122.86106872686439,38.59253061978584);(-122.861114831854,38.5924405546914);(-122.8611494365576,38.59235948791588);(-122.86118503791592,38.59227476219857);(-122.8612192404757,38.59219450519665);(-122.86127621575031,38.592116314743585) l(r:p10udt5034-p10udt5038);(-122.86027388095668,38.59265593073311);(-122.86037824387421,38.59265602095222);(-122.86048160706277,38.5926471322351);(-122.86059770383295,38.59264721545592);(-122.8607010669824,38.59263832654489);(-122.86081591296332,38.59262945119891) l(r:p10udt5036-p10udt5038);(-122.86016003455804,38.59267378439278) l(r:p10udt5036-p10udt6043);(-122.85994532613608,38.59271844299001);(-122.85990726698131,38.59277658905554);(-122.85985093253261,38.59284458717665);(-122.85980486075856,38.592916627844005);(-122.85975878889226,38.59298866849215);(-122.85945988175139,38.59316854954825);(-122.85936798305373,38.59318646311836);(-122.85929897984579,38.59324045206448);(-122.85922997653445,38.59329444096922);(-122.85916097311974,38.59334842983256);(-122.85905760876611,38.59335731738006);(-122.85895442071856,38.59327608524803);(-122.85895459704909,38.593185965658456);(-122.85895475574587,38.593104858026656);(-122.85895493207491,38.59301473843445);(-122.85895510840314,38.59292461884085);(-122.85894380184214,38.59283448540685);(-122.85894397818316,38.5927443658105);(-122.8589441545234,38.592654246212774);(-122.85894433086284,38.59256412661365);(-122.85894448956766,38.592483018973255);(-122.8589446659056,38.59239289937148);(-122.85894484224275,38.59230277976831);(-122.85894500094551,38.59222167212427);(-122.85895666005763,38.59213156635712);(-122.85895683637814,38.59204144674989);(-122.85895699506594,38.59196033910217);(-122.85895717138494,38.59187021949228);(-122.85895734770317,38.59178009988099);(-122.85895757856728,38.59169799710965);(-122.85896916539886,38.591608886452995) l(r:p10udt5032-p10udt5036);(-122.86049975570573,38.59697825532736);(-122.86044230272314,38.596914933927465);(-122.86037369853257,38.596842925382376);(-122.8603053866221,38.59678021776966);(-122.86024746697831,38.59671643798459);(-122.86019017180607,38.59665328572773);(-122.86012201761481,38.596590747275535);(-122.86009641989679,38.59652176793638);(-122.86006209194399,38.59645864306812);(-122.85999345372352,38.59632338134512);(-122.8599591434643,38.59625124449018);(-122.85992483327357,38.59617910762444);(-122.85990200656157,38.59610698449494);(-122.85984490493364,38.5959447005585);(-122.85982209590141,38.595863565455595);(-122.85979928692026,38.59578243034724);(-122.85978796133574,38.595701308991536);(-122.85976515244384,38.59562017387444);(-122.859742361139,38.59553002679615);(-122.85971955235205,38.595448891667964);(-122.85969674361618,38.595367756534316);(-122.85968541821082,38.595286635163276);(-122.85967411037502,38.59519650183386);(-122.85966278502146,38.59511538045837);(-122.85966296047795,38.59502526089446);(-122.85965163516325,38.594944139515576);(-122.85965181063261,38.594854019949075);(-122.85964048535678,38.59477289856678);(-122.85964064329082,38.59469179095466);(-122.85962933560202,38.59460165761203);(-122.85962949354763,38.594520549997554);(-122.859618185899,38.594430416651285);(-122.85961834385621,38.59434930903447);(-122.85960703624772,38.594259175684535);(-122.8596071942165,38.594178068065396);(-122.85959588664815,38.59408793471182);(-122.8595960446285,38.59400682709033);(-122.85958473710033,38.59391669373312);(-122.85958489509225,38.59383558610928);(-122.85957358760423,38.593745452748436);(-122.85957374560772,38.59366434512224);(-122.85959685207368,38.593592277007275);(-122.85963144149123,38.593520222659635);(-122.85965454785291,38.593448154531295);(-122.85968915468453,38.59336708820554);(-122.85971226093899,38.59329502006372);(-122.85974738667854,38.59298760185758);(-122.8597945979775,38.59291258526967);(-122.85984066975921,38.592840544606354);(-122.85987647868404,38.592764461356595);(-122.85991228753308,38.59268837809476);(-122.86005679679519,38.592682662580856) l(r:p10udt3864-p10udt3878);(-122.86799917852608,38.60164073631384);(-122.86820589585248,38.60164096929576);(-122.86840114534216,38.601632177049424);(-122.86986018788228,38.60133641369663) l(r:p10udt3870-p10udt3878);(-122.86799919503336,38.601631724364466);(-122.86799896393082,38.601757891654465);(-122.86799873282683,38.601884058941735);(-122.86799851822899,38.602001214277465);(-122.86795234962867,38.602127329735225);(-122.86792921571862,38.60221742330157);(-122.86790601567311,38.602343564654944);(-122.86788281554573,38.60246970600086);(-122.86779100625796,38.60243355445076);(-122.86768769609948,38.60240640179286);(-122.86758438601912,38.60237924904377);(-122.86749256042579,38.60235210920755);(-122.8673892504972,38.60232495628622);(-122.8672859406467,38.602297803273686);(-122.86719411527017,38.602270663203306);(-122.86709080557146,38.60224351001854);(-122.86699898033797,38.60221636979504);(-122.86689567079107,38.602189216438035);(-122.86679237798079,38.6021530510417);(-122.86688443611311,38.60205402415215);(-122.86707960376428,38.60209029385532) l(r:p10udt6208-p10udt6218);(-122.87780945911818,38.60006528380572);(-122.87807353126433,38.60010160710954);(-122.87816515994237,38.600245894072934);(-122.87826828831027,38.60038118095282);(-122.87835991773261,38.60052546775803);(-122.8784860151539,38.600660778311784);(-122.87862358203616,38.600805112593) l(r:p10udt6217-p10udt6218);(-122.87626900293623,38.60099189822766);(-122.87644145129327,38.60088393678791);(-122.87661388370438,38.600784987044904);(-122.87678631564229,38.60068603704537);(-122.8769587624933,38.60057807483518);(-122.87713119346321,38.60047912432239);(-122.87730363930295,38.60037116159851);(-122.8774645852229,38.600272198548666);(-122.87763701476733,38.60017324728307) l(r:p10udt6217-p10udt6231);(-122.87279808433262,38.603814329977865);(-122.87269262621582,38.603700664542394);(-122.87256656626064,38.6035473239301);(-122.87252077133978,38.603466166364626);(-122.87247499247042,38.60337599683196);(-122.87254405931604,38.603285952416854);(-122.87260165737574,38.60318688350888);(-122.87278558509155,38.60308795196579);(-122.8729580436777,38.602979995715266);(-122.87313050174738,38.60287203920771);(-122.87330294345594,38.60277309439265);(-122.87348688497592,38.602665149787185);(-122.8736593415007,38.60255719249185);(-122.87383178173064,38.60245824688968);(-122.87400423724414,38.602350289080555);(-122.87417669224115,38.602242331014416);(-122.87436061538703,38.602143396972124);(-122.87453306935564,38.60203543837526);(-122.87470552280777,38.60192747952137);(-122.8748779600963,38.60182853236203);(-122.87506189684889,38.60172058525547);(-122.87523434875617,38.601612625613896);(-122.87540678456614,38.60151367766751);(-122.87557923546213,38.60140571751218);(-122.87575168584169,38.601297757099864);(-122.8759356044178,38.601198820558636);(-122.87609656955712,38.601090847457385) l(r:p10udt6231-p10udt6232);(-122.87302510870092,38.60402545649993);(-122.87291045265275,38.60391718846313) l(r:p10udt6206-p10udt6232);(-122.87247866609499,38.6043056421421);(-122.8726572486272,38.60422332000856);(-122.87284117891639,38.60412438840005) l(r:p10udt3877-p10udt6206);(-122.86962598668178,38.603832467788266);(-122.8696717948702,38.60390461452968);(-122.8697290878191,38.60397677403749);(-122.86978641345299,38.60403090962393);(-122.86985524013582,38.60407604600837);(-122.86991256594342,38.6041301815324);(-122.8699928612414,38.60418434254754);(-122.87006168820015,38.60422947880984);(-122.87014198372094,38.604283639722134);(-122.87021079463577,38.60433778784231);(-122.87027960565402,38.6043919359217);(-122.8703599177334,38.60443708473766);(-122.87042872895856,38.604491232728805);(-122.87052050980698,38.60454540609532);(-122.8706008060249,38.60459956669109);(-122.87069260331303,38.60464472797644);(-122.8707728997711,38.604698888453605);(-122.8708646811431,38.60475306154919);(-122.87094497785134,38.60480722190772);(-122.87103677562013,38.60485238292233);(-122.87112855738627,38.60490655581021);(-122.87120885446411,38.6049607159869);(-122.87130063649778,38.60501488873929);(-122.8713809499121,38.605060036852045);(-122.87147273220184,38.60511420946898);(-122.87156451462967,38.60516838201367);(-122.87165631324761,38.60521354254096);(-122.87174811198072,38.60525870299609);(-122.87185153995894,38.60522276844978);(-122.87185170023433,38.60513264899692);(-122.8718518444816,38.60505154148816);(-122.87185200475565,38.60496142203265);(-122.87186364984147,38.604871315151556);(-122.87186379407395,38.60479020763911);(-122.87186395433154,38.60470008817953);(-122.8718640985628,38.604618980664725);(-122.87186424279345,38.60453787314878);(-122.87187587176993,38.60445677820619);(-122.87187599996247,38.604384682634475);(-122.87187614417851,38.604303575115246);(-122.871876288394,38.60422246759487);(-122.87187641658507,38.60415037202028);(-122.87200281229099,38.6041144624759);(-122.8720830131584,38.60422269374097);(-122.87216321426644,38.60433092494951);(-122.87224341561509,38.60443915610148);(-122.87235837479926,38.60437619779701) l(r:p10udt3877-p10udt3878);(-122.86799921154062,38.60162271241507);(-122.86801044824533,38.60175790460753);(-122.86801021716147,38.60188407189487);(-122.8680100025823,38.602001227230645);(-122.8679633875925,38.60212981796711);(-122.86794047384846,38.602219203398455);(-122.86791738232081,38.60234485196898);(-122.86789418221292,38.602470993317155);(-122.86798612586891,38.60249685848652);(-122.86807795183353,38.60252399793545);(-122.86816979435396,38.60254212536428);(-122.86827310488977,38.602569277596636);(-122.86833047771039,38.60259637806145);(-122.86839933502688,38.60262349141325);(-122.86846820884448,38.602641592776514);(-122.8685485507161,38.602668718947754);(-122.86862884336082,38.60272288090766);(-122.86872062060357,38.602777055695846);(-122.86881239798441,38.602831230411795);(-122.86890417550332,38.60288540505552);(-122.8689729513812,38.602957577807906);(-122.86904172739673,38.60302975051922);(-122.86911050354998,38.60310192318946);(-122.86917927984088,38.60317409581864);(-122.86922508716489,38.60324624274154);(-122.86928237914428,38.603318402474464);(-122.86932818666294,38.603390549355574);(-122.8693739942732,38.60346269621803);(-122.86943128657337,38.603534855875935);(-122.86947709437828,38.603607002696585);(-122.86952290227477,38.60367914949858);(-122.86958017858495,38.60376032102817) l(r:p10udt3872-p10udt3878);(-122.86780394549777,38.60164051594011) l(r:p10udt5037-p10udt6080);(-122.85782765116173,38.59982642771566);(-122.85793120259522,38.59972742179777);(-122.85803475374408,38.599628415786036);(-122.85813828686922,38.59953842162936);(-122.8582533391268,38.599430417390906);(-122.85837987496123,38.59932242693407);(-122.85849490881739,38.59922343440229);(-122.85860996003734,38.599115429805416);(-122.85863315761519,38.59899830220371);(-122.85866783896871,38.59888118846395);(-122.8586911247233,38.59871900109403);(-122.85872587650691,38.59856583952863);(-122.85874916202685,38.598403652137975);(-122.85876085772853,38.59829552258601);(-122.8587725710535,38.598178381079585);(-122.85900233400011,38.59813359825677);(-122.85918588004449,38.59823295094451);(-122.85938091035995,38.598332317142535);(-122.85956447499332,38.598422657283926);(-122.85973655629394,38.59851298337394);(-122.85992010431856,38.59861233490744);(-122.86009218651864,38.598702660473315);(-122.86026426915046,38.59879298578556);(-122.86043635221402,38.598883310844194);(-122.86062642245201,38.59897524280042);(-122.86079198611132,38.59907298581189) l(r:p10udt6052-p10udt6065);(-122.85151103324114,38.594447447367685);(-122.8502918175541,38.59541017564621) l(r:p10udt6044-p10udt6066);(-122.85078858403236,38.59396889369214);(-122.85005196194196,38.594788042200264) l(r:p10udt6066-p10udt6067);(-122.85112114621141,38.594185604688754);(-122.850960606413,38.594077256620835);(-122.85078858403236,38.59396889369214) l(r:p10udt3089-p10udt3092);(-122.8500172793346,38.589380809053566);(-122.8499142760219,38.58921846127419) l(r:p10udt3090-p10udt6041);(-122.84978353918862,38.59134512175965);(-122.84966871272378,38.591344974325);(-122.84956536890616,38.59134484153748);(-122.8494505424429,38.59134469388876);(-122.84933573481477,38.59133553416937);(-122.84922092721621,38.5913263743374) l(r:p10udt6041-p10udt6068);(-122.85142498033397,38.59194500719802);(-122.85134512643653,38.59179213405251);(-122.85128718965295,38.59162641346579);(-122.8512071270169,38.591473108011535);(-122.8511385473637,38.59131981710461);(-122.85102372093208,38.59131967099943);(-122.85090889450126,38.591319524781596);(-122.8507940494193,38.59132839040973);(-122.85067922297581,38.59132824396654);(-122.85057587917737,38.591328112071324);(-122.85046103404184,38.591336977372585);(-122.85034620758641,38.591336830602636);(-122.85023138113183,38.59133668372003);(-122.85012803732342,38.59133655142937);(-122.85001319212081,38.591345416291006);(-122.84989838441824,38.591336257123416) l(r:p10udt6068-p10udt6079)_s0 l(r:p10udt6068-p10udt6079)_s1 l(r:p10udt6051-p10udt6072) l(r:p10udt6045-p10udt6088);(-122.85172190865677,38.597998432856166);(-122.85162312810053,38.59804259079323);(-122.851519700408,38.59807850750654);(-122.85140014188337,38.59810616840318) l(r:p10udt6060-p10udt6088);(-122.851928744994,38.59793561092734);(-122.85182533613406,38.59796251596322) l(r:p10udt6141-p10udt6155);(-122.85404779065863,38.600623839999606);(-122.85385239601919,38.600704703865986);(-122.85357694145873,38.600623251836105);(-122.85349686401499,38.6004699481551);(-122.85341678691104,38.60031664441596);(-122.85333671014692,38.600163340618685);(-122.85325663372261,38.60001003676325);(-122.85317653927837,38.5998657447961) l(r:p10udt6163-p10udt6166) l(r:p10udt6143-p10udt6150);(-122.85857882200067,38.603278921342834);(-122.85849844783046,38.60326981222233);(-122.85840655371551,38.60327871303828);(-122.85832612641379,38.60329663962908);(-122.85821120993373,38.6033325483119);(-122.85805047934119,38.603305317567816);(-122.85788973109824,38.60328709854614);(-122.85793604241297,38.60309790351497);(-122.85811981252937,38.60308911449199);(-122.85821184829418,38.6030081183524);(-122.8582121852025,38.60283689142206) l(r:p10udt6143-p10udt6153);(-122.85883932371826,38.60409016725842);(-122.85872540604542,38.60408111846745);(-122.85858878561956,38.60405396229302);(-122.85853137993278,38.604044880947995);(-122.85853152147484,38.603972785410804);(-122.85846277280895,38.603891594610126);(-122.85827912475548,38.60383730057079);(-122.8580954769795,38.60378300624326);(-122.85791184725115,38.6037196996852);(-122.85771671543957,38.60366539081864);(-122.85761347856909,38.603602181507256);(-122.85761369225617,38.60349403819725);(-122.85780896578838,38.60347625169508);(-122.8579927368847,38.603467462874086);(-122.85818801025327,38.60344967573903);(-122.85819986161546,38.60333116422785);(-122.8583218776083,38.60328826708625);(-122.85840341569332,38.60327004402164);(-122.85849704090718,38.603260868147984);(-122.85858046367004,38.60327000193684);(-122.85867064539546,38.603306068164834) l(r:p10udt3823-p10udt3826);(-122.86163029433891,38.60499347186461);(-122.8616416678221,38.60512102311618);(-122.8616870921043,38.605236205893);(-122.8618866828837,38.60529315258877);(-122.86206627940857,38.6052927516401);(-122.86226150505901,38.60530199354551) l(r:p10udt3769-p10udt3786);(-122.86486512429643,38.60714346901766);(-122.8649339846433,38.607170584392854);(-122.86499139363553,38.60717966259831);(-122.86505107162267,38.60717990643915);(-122.86515218611777,38.607179847992526);(-122.8652543011394,38.60717100877708);(-122.86534743413392,38.60718007281707) l(r:p10udt3772-p10udt3786);(-122.86475049250159,38.607026181117156);(-122.86479629782367,38.607098329722426) l(r:p10udt3842-p10udt4685);(-122.86176223314628,38.608157299311955);(-122.86178557793441,38.60807516102461);(-122.86178560059219,38.60799401799255);(-122.8617972414786,38.607912924121365);(-122.86173982634698,38.60783373908834);(-122.86165942938746,38.607833644073175);(-122.86157903242832,38.607833549002784);(-122.86147561292924,38.60786046250032);(-122.86141528888005,38.60792933968568);(-122.86140626676111,38.607970291275336);(-122.8613947447536,38.60802406354104);(-122.86138318901354,38.608095781752795);(-122.86137156497115,38.60816786364252);(-122.86135994090529,38.60823994553015);(-122.86134831681598,38.60831202741569);(-122.86133669270316,38.60838410929916);(-122.8612614548032,38.60841799873784);(-122.8611855337343,38.60840972554636);(-122.86111663887756,38.60840063184439);(-122.86101519326402,38.608382610106894) l(r:p10udt3760-p10udt4962);(-122.86805346387568,38.60826480485027);(-122.86826272507834,38.608246802095316);(-122.86846952716897,38.60821098688754);(-122.86868779796762,38.60818419614163);(-122.86873349303441,38.60831942677534);(-122.86879069006662,38.60844565832761) l(r:p10udt4669-p10udt4711);(-122.86545886969857,38.60900962842421);(-122.86567711041667,38.60900086717819);(-122.86589531753329,38.609010129399515);(-122.8661135414547,38.609010379276754);(-122.8663432341298,38.60901965380316) l(r:p10udt4654-p10udt4669);(-122.86436775015945,38.6090083688644);(-122.86458597406182,38.60900862159025);(-122.86480419796692,38.60900887390916);(-122.86502242187476,38.609009125821125);(-122.86524062892863,38.60901838926311) l(r:p10udt4645-p10udt4654);(-122.86327669379632,38.60892710939368);(-122.8632766306888,38.60900709913095);(-122.86348338618602,38.60899832855688);(-122.86370161004997,38.608998582931854);(-122.86391983391667,38.6089988368999);(-122.86414950926665,38.60901712766816) l(r:p10udt4657-p10udt4908);(-122.86521534815653,38.61025299804019);(-122.8654335758366,38.610253249192205);(-122.86564030104437,38.61026249868549);(-122.86585854553717,38.6102537371098);(-122.86607677322523,38.61025398706234);(-122.86629498419045,38.61026324854336) l(r:p10udt4657-p10udt4671);(-122.86391746779987,38.610251495939046);(-122.86413567846802,38.61026076144607);(-122.86435392313025,38.61025200267684);(-122.86456066513267,38.61025224214236);(-122.86477889280455,38.610252494515265);(-122.86499710359128,38.61026175841617) l(r:p10udt4652-p10udt4671);(-122.86348101248046,38.610250987573394);(-122.86369922308855,38.61026025389418) l(r:p10udt4652-p10udt4672);(-122.86328558513682,38.61034087896224);(-122.86328543121806,38.61042198636939);(-122.86328527729867,38.610503093775414);(-122.86328512337869,38.61058420118031);(-122.86328496945805,38.610665308584075);(-122.86328481553682,38.61074641598672);(-122.86328466161494,38.610827523388224);(-122.86327302192156,38.61090861736911);(-122.86328435376936,38.61098973818787);(-122.86305462096273,38.61099848151589);(-122.86283639104671,38.610998225923325);(-122.86261817831944,38.61098895799085) l(r:p10udt4656-p10udt4692);(-122.86393906048144,38.61098148930488);(-122.86415729035677,38.610981742841005);(-122.86437550326872,38.610991007903756) l(r:p10udt4650-p10udt4693);(-122.8632713114864,38.61180981063039) l(r:p10udt4692-p10udt4693);(-122.86349109787974,38.61098997954688);(-122.86327283377743,38.61100774863466);(-122.8632955146297,38.611160978334425);(-122.8633066926419,38.611323206542316);(-122.86328341311474,38.61148539449215);(-122.86329459114783,38.611647622692225) l(r:p10udt4652-p10udt4692);(-122.86329707081721,38.61034089238035);(-122.8632969169114,38.61042199978754);(-122.86329676300494,38.6105031071936);(-122.86329660909787,38.61058421459853);(-122.86329645519017,38.61066532200234);(-122.86329630128185,38.61074642940502);(-122.86329614737294,38.61082753680657);(-122.86328450769246,38.610908630788614);(-122.86330732533708,38.610989765023575);(-122.86349111495647,38.61098096761359);(-122.86370932777672,38.61099023391845) l(r:p10udt4652-p10udt4708);(-122.86327517696097,38.60977311366164);(-122.86328650863639,38.60985423449564);(-122.86328635472134,38.60993534190956);(-122.86328620080567,38.610016449322366);(-122.8632860468894,38.61009755673402);(-122.86328589297248,38.610178664144556);(-122.8632742533875,38.610259758134774) l(r:p10udt4907-p10udt4927);(-122.8677792404657,38.60903248281054);(-122.8677562362194,38.60896041103402);(-122.86758852399389,38.60903823563409);(-122.86737689338953,38.60903885374963);(-122.86718167354768,38.6090206084269) l(r:p10udt4929-p10udt4975);(-122.86888190954873,38.60866009257986);(-122.86890476651844,38.608750425337234);(-122.86892753969781,38.608831370882434);(-122.86895036304962,38.608912504056065);(-122.86897322018436,38.609002836796456);(-122.86899599351968,38.609083782325115);(-122.86901908697693,38.60916687075215) l(r:p10udt3724-p10udt3735);(-122.86913963233734,38.60632191472076);(-122.86908246911752,38.606177659409525);(-122.86902526666104,38.60602424689103);(-122.86899054624293,38.6059135216178);(-122.86894481942339,38.60579631491719);(-122.86892196426636,38.60573320558771);(-122.86886481834763,38.60557993821359);(-122.8690485771336,38.60558014398509);(-122.86924383719965,38.605571350357366) l(r:p10udt3724-p10udt3773);(-122.86912866521187,38.60632459049898);(-122.86907150201192,38.60618033518249);(-122.86901424373036,38.60602677742556);(-122.8691713889702,38.60598608869848);(-122.86932094594012,38.605959181628336);(-122.86949583628304,38.605941122086065);(-122.86966812731379,38.60593230213452);(-122.86982891704287,38.60593248109798) l(r:p10udt3776-p10udt3794);(-122.86845196984386,38.60524603225558);(-122.86869329993237,38.60516519562334);(-122.86877387447466,38.60506615441376);(-122.8689002569283,38.60503926017597);(-122.86903535453095,38.605003632666886);(-122.86908385059922,38.60512958533383) l(r:p10udt3724-p10udt3794);(-122.8691176980856,38.606327266276196);(-122.8690605349055,38.60618301095444);(-122.86900322079896,38.606029307959005);(-122.86897964545471,38.605916359246244);(-122.86893383752017,38.60579895290851);(-122.86879549855222,38.60580515955992);(-122.86865767903359,38.605805004959564);(-122.86865782687967,38.605723897470405);(-122.86861211715413,38.60559767869366);(-122.86857782674609,38.605507520569596);(-122.86853205152559,38.60541734953246);(-122.86849776130296,38.60532719138247) l(r:p10udt3724-p10udt3793);(-122.8693796187586,38.60695287489219);(-122.86931136930598,38.60679286453262);(-122.86924309215054,38.60663144865045);(-122.86919669248522,38.60646589383891) l(r:p10udt3793-p10udt3809);(-122.87044601103123,38.607654450294035);(-122.870312509291,38.60770768094592);(-122.87026239299425,38.60757313923907);(-122.87020517783505,38.60745592032515);(-122.87002131670991,38.60750978800798);(-122.869853190948,38.60757204029626);(-122.86966909636241,38.607625977930894);(-122.86959713911983,38.6075035392464);(-122.86955115371755,38.607385747710055);(-122.86950542505795,38.60726854125482);(-122.86944778993487,38.60710361140911) l(r:p10udt3721-p10udt4825);(-122.87134934777862,38.60834622062209);(-122.87121415391307,38.60842131463216);(-122.87112252881798,38.60827702243442) l(r:p10udt4794-p10udt4867);(-122.87285559291038,38.60897283897142);(-122.87267172988093,38.609026710801245);(-122.87248785062698,38.60908959428069) l(r:p10udt3798-p10udt6227);(-122.8715265892482,38.60711492403018);(-122.87134272997605,38.607168793795516) l(r:p10udt3771-p10udt6227);(-122.8712740203778,38.606561450763884);(-122.87130917584147,38.60666408713459);(-122.87138929806137,38.60681737854399);(-122.87145793547451,38.60697065728006);(-122.87153734878979,38.60711177153161);(-122.87159525935695,38.607250178794935) l(r:p10udt4779-p10udt5212);(-122.87100428673321,38.610005161407024);(-122.87102720765957,38.61012299818052);(-122.87106129552613,38.61023953506946);(-122.87115350361475,38.610223639146135);(-122.87125690681297,38.61020572904343) l(r:p10udt4779-p10udt4970);(-122.87028205346442,38.60941160038369);(-122.87031628296239,38.609537805707205);(-122.87035051257966,38.60966401101818);(-122.87038472610263,38.609799228254275);(-122.87043044158025,38.60992544625785);(-122.87047593107475,38.61006802710118);(-122.87051011889498,38.61020313767328);(-122.87055565488018,38.61033781272126);(-122.87064548055649,38.61031341283005);(-122.87074888400866,38.61029550317697);(-122.8708405075456,38.610277634904435);(-122.87094308029235,38.61025090559714);(-122.87104514867721,38.610223948993074);(-122.87099346282658,38.6101283841643);(-122.87097038255395,38.61000989111737);(-122.87095884456053,38.609889982309426) l(r:p10udt4935-p10udt4970);(-122.87005252156065,38.60931221420412);(-122.86986868813678,38.60934805774523);(-122.8696733526975,38.60939290014414);(-122.86948951888068,38.609428743088415);(-122.86930568488172,38.60946458574335) l(r:p10udt4985-p10udt6323);(-122.87020066428461,38.609961239436124);(-122.87042767163328,38.609916700309896);(-122.87048722297676,38.610066378799026);(-122.87052138468945,38.61020138274919);(-122.87056675692055,38.6103355029573);(-122.87034923134414,38.61037595406294);(-122.87016539535067,38.610411798059154);(-122.86998155917505,38.610447641766015) l(r:p10udt4970-p10udt4985);(-122.87005513637386,38.60932098950072);(-122.8698714579942,38.609356803707115);(-122.86987991323647,38.60949226152546);(-122.86991407697772,38.60965451471454);(-122.86995974273866,38.60980776871596);(-122.87000539243176,38.60997003463314) l(r:p10udt4970-p10udt6324);(-122.87014487889422,38.60885953317274);(-122.87016794517281,38.60899692384066);(-122.87022477379733,38.609138992463244);(-122.87024784031631,38.60927638310926) l(r:p10udt4659-p10udt4694);(-122.86173609069182,38.609780317258654);(-122.86173593503169,38.60986142466834);(-122.86174719664783,38.60994155036233);(-122.86174710933862,38.61002365305442);(-122.86174695368955,38.61010476046074);(-122.86151724085097,38.610104488842914) l(r:p10udt4668-p10udt4694);(-122.86174757628208,38.60978033082869);(-122.8617474206349,38.609861438238404);(-122.86175861392442,38.609940568646685);(-122.86175859496771,38.61002366662344);(-122.86175843933157,38.6101047740298);(-122.86173529508902,38.61019486622955);(-122.86173512212972,38.610284985567155);(-122.86174645214939,38.61036610654011);(-122.86174627920298,38.6104562258751) l(r:p10udt4647-p10udt6325);(-122.86129733063093,38.61097838790169);(-122.86151554314883,38.61098765829688) l(r:p10udt4647-p10udt4701);(-122.86048129914217,38.611256788355405);(-122.86049294203475,38.61117569466304);(-122.86049311655347,38.611085575343935);(-122.86049327361967,38.61100446795556);(-122.86061965212525,38.61098659464531);(-122.86083788198559,38.6109868543718);(-122.86106759763112,38.61098712732843) l(r:p10udt3825-p10udt3873);(-122.86259662399895,38.60424686356296);(-122.8623669345888,38.60422068206407);(-122.86234427665958,38.6040679812327);(-122.86234455052221,38.60391424896794);(-122.86233334841596,38.60377965579585) l(r:p10udt3824-p10udt3825);(-122.8621213387341,38.604374197028335);(-122.86224029271811,38.6043827483946);(-122.86236662474992,38.60438289704112);(-122.86260778690276,38.60439219238528) l(r:p10udt6062-p10udt6070);(-122.85531477266547,38.599729215273406);(-122.85525681934534,38.59963805524565);(-122.8551995805589,38.599547864737985);(-122.85513089420391,38.59943963609038);(-122.85507336409954,38.59933085708343);(-122.85500467076163,38.59919547325676);(-122.85494735349167,38.59906891873072);(-122.85487896271721,38.59894318528672);(-122.85479882105463,38.59878976368911);(-122.8547300174209,38.59863604284935);(-122.85465014913909,38.598483172105745);(-122.85457007177347,38.59832986910309);(-122.85450126906399,38.59817614811854);(-122.8544214017744,38.59802327720875);(-122.85435259967288,38.597869556129915) l(r:p10udt6070-p10udt6146);(-122.85579584902612,38.600288390514685);(-122.85575072245074,38.600244066482674);(-122.85564712694944,38.60012628311711);(-122.8555440053431,38.600009000272415);(-122.8554408840721,38.59989171733515);(-122.8553830315151,38.59980978502872) l(r:p10udt6136-p10udt6146);(-122.85612597896792,38.60093505586223);(-122.85609216757955,38.60081967596329);(-122.85606911475534,38.6007011798407);(-122.8560128528751,38.60059425661597);(-122.85595532052193,38.60048547805972);(-122.85587603930007,38.60038762095655) l(r:p10udt6136-p10udt6156);(-122.85615949606768,38.60134879435831);(-122.85615968299986,38.601221244669894);(-122.85615999204279,38.601077615716974) l(r:p10udt6156-p10udt6163);(-122.8561808681614,38.60212201736437);(-122.85618121264345,38.601942228496206);(-122.85618157234902,38.60176198960506);(-122.85618191406631,38.601590762653316);(-122.85618216585617,38.601464595422506) l(r:p10udt6140-p10udt6163);(-122.85754875902471,38.603788331639265);(-122.85737794755802,38.60373449589648);(-122.85719296758299,38.603670742478464);(-122.85701237913828,38.60358958238498);(-122.8568485357632,38.603506834776574);(-122.85674984623063,38.60343511548155);(-122.85666641793887,38.60336055922632);(-122.85658616947275,38.60328836519564);(-122.85648251574428,38.60318865110699);(-122.8563693828418,38.60309972407294);(-122.85631759588608,38.60295458601955);(-122.85624927840891,38.60282903476397);(-122.85622578725336,38.60273710365871);(-122.8562029786728,38.602637788733404);(-122.85616882070924,38.602484497380374);(-122.85616900882704,38.602302692147646) l(r:p10udt6140-p10udt6153);(-122.85883518009348,38.604107895459464);(-122.85872308534633,38.604099050143404);(-122.85858497459047,38.604071736379815);(-122.85852684057335,38.604062549374895);(-122.85832165351373,38.604008250600224);(-122.85821209217507,38.60397985733822);(-122.85811084738671,38.60395332726231);(-122.85792527536952,38.60388943212631);(-122.85774681766344,38.60382706896427) l(r:p10udt3853-p10udt6153);(-122.86101250435979,38.603822554890336);(-122.8610465648837,38.603910947585895);(-122.86089840300568,38.60393053175894);(-122.860715084471,38.60394829918205);(-122.86049719034831,38.60397503484417);(-122.86027892930558,38.60400181035051);(-122.86007224968792,38.60402858696022);(-122.85985389141395,38.60405537389486);(-122.85963505841981,38.60407319631419);(-122.85941738579197,38.604099910155526);(-122.8591870125817,38.60411774490189);(-122.85896767410017,38.60410849129908) l(r:p10udt3824-p10udt3853);(-122.86157336708875,38.604722934441966);(-122.86159619580502,38.604877646364635);(-122.86144940689141,38.604913289732075);(-122.86130096245186,38.604940170974125);(-122.8612522604052,38.604845101181496);(-122.86122936415984,38.6047545792517);(-122.86120665100663,38.60467381980758);(-122.86119491968398,38.60459077869948);(-122.86117242637602,38.60450217676151);(-122.86116092838259,38.60441947563982);(-122.86113811521464,38.6043383409034);(-122.86111526936972,38.60424800658138);(-122.86109250640465,38.604167059471244);(-122.86106969339282,38.60408592471828);(-122.86104684771276,38.6039955903793);(-122.86101286996119,38.603916584903146);(-122.86100123933427,38.60382430890234);(-122.86098969154601,38.603741420117345) l(r:p10udt3823-p10udt3824);(-122.86153940964887,38.60472750812102);(-122.86152789309999,38.6045621468699) l(r:p10udt3719-p10udt3823);(-122.86164100130526,38.606081989895706);(-122.8616174758596,38.605989677589626);(-122.8615948063113,38.6059078581836);(-122.86156042003672,38.605817437636055);(-122.86153739632783,38.6057356681481);(-122.86150341154989,38.605655415145414);(-122.86146902550958,38.60556499456755);(-122.86143483123635,38.60548410669558);(-122.8614116705038,38.60539287721225);(-122.86138895848873,38.60532117295006);(-122.86136607580447,38.605239807435126);(-122.8613432621485,38.605158672749184);(-122.86133173285148,38.60508572621896);(-122.86130910247137,38.605005428914204);(-122.86126385876732,38.60492817756307);(-122.86144338286527,38.60488668708584);(-122.86159363020363,38.604868862153424) l(r:p10udt3719-p10udt3812);(-122.86188027937025,38.606819925055284);(-122.86185732668004,38.60673832882123);(-122.86183451200121,38.60665719424996);(-122.86181169737351,38.60657605967322);(-122.86180009812007,38.606492983367716);(-122.86173205801383,38.60633355673687);(-122.86170895434617,38.606251507739834);(-122.86168609720298,38.6061600407528) l(r:p10udt3733-p10udt3812);(-122.86197054985826,38.607339348953744);(-122.86194782235307,38.60726846686183);(-122.86194787300339,38.60718611890432);(-122.861936544186,38.6071141324589);(-122.86191379857605,38.60704312774479);(-122.86191376365393,38.606968796438025);(-122.86191398743655,38.60688868427261) l(r:p10udt3733-p10udt4685);(-122.86173937992388,38.60815547886681);(-122.86176316179784,38.608071224610754);(-122.86176277429445,38.6079920006219);(-122.86177441520623,38.60791090675299);(-122.86179746921489,38.607830821565365);(-122.86183241862194,38.60774883088962);(-122.86185554466879,38.60766775056901);(-122.86190330770147,38.60763026187178);(-122.86194059750392,38.60757939380305);(-122.86201172410188,38.60756434583558);(-122.86200464369374,38.60749159725415);(-122.86199329656368,38.60741948820477) l(r:p10udt4674-p10udt4685);(-122.86166915566494,38.60882298044799);(-122.86166916707701,38.60874386326172);(-122.86168095253512,38.60866077917534);(-122.86169258407566,38.60857087031801);(-122.86170425203576,38.60848957953438);(-122.86171589309548,38.60840848567849);(-122.86173894733007,38.60832840050963);(-122.86175058832416,38.60824730664791) l(r:p10udt4674-p10udt4688);(-122.86130015876735,38.609509442726974);(-122.86140352868958,38.609509565200966);(-122.8615068812884,38.60951869951845);(-122.86161025122483,38.6095188218099);(-122.86169672572888,38.60942575908407);(-122.86170246430468,38.60934770367186);(-122.86167977684268,38.60925935074712);(-122.86167982200531,38.60917644975235);(-122.8616686201043,38.60908811039836);(-122.86166868258253,38.60899619746553);(-122.86166891089533,38.6089069748968) l(r:p10udt4905-p10udt4927);(-122.86790468550059,38.60948299154009);(-122.86789306223633,38.60940892780382);(-122.86787030834347,38.60932878971402);(-122.86784774004373,38.60925785909685);(-122.86782490129247,38.609185737652645);(-122.86781322569094,38.60910243113653) l(r:p10udt4905-p10udt4981);(-122.86719217887158,38.60955232576103);(-122.86729556548055,38.60954343109358);(-122.86741042103242,38.609543561285825);(-122.86751379102975,38.60954367836246);(-122.8676171610277,38.609543795347804);(-122.86772053102624,38.60954391224182);(-122.86783651282201,38.60955309996934);(-122.86793872354164,38.609562182592484) l(r:p10udt4940-p10udt4981);(-122.86708880886317,38.60955220840028) l(r:p10udt4698-p10udt4940);(-122.86643416557274,38.60953343912185);(-122.86654903779802,38.60952455822288);(-122.86665235772325,38.6095517118704);(-122.86676721328536,38.60955184269398);(-122.86687058329188,38.60955196033881);(-122.86697395329898,38.60955207789234) l(r:p10udt4698-p10udt4971);(-122.86631929331949,38.609542319908) l(r:p10udt4682-p10udt4971);(-122.86553827562952,38.60954142509535);(-122.86564164561591,38.609541543825735);(-122.86575650115705,38.60954167564129);(-122.86587135669893,38.609541807344115);(-122.86598622900533,38.60953292699779);(-122.86610108453435,38.6095330584751);(-122.86621592332911,38.60954220177622) l(r:p10udt4646-p10udt4682);(-122.86463093381961,38.609531367052035);(-122.86474578933975,38.609531499859564);(-122.86486064486064,38.60953163255437);(-122.86496399793849,38.60954076381936);(-122.86507887035242,38.609531884363925);(-122.86519372587551,38.6095320167318);(-122.86530970732255,38.60954120696308);(-122.86542340325566,38.60955030500183) l(r:p10udt4646-p10udt4665);(-122.86395328626622,38.60953058119299);(-122.86406814178187,38.60953071466564);(-122.86417151174663,38.609530834694624);(-122.86428636726373,38.60953096795306);(-122.86440122278161,38.60953110109879);(-122.86451606135257,38.609540246067674) l(r:p10udt4665-p10udt4708);(-122.86326398214551,38.609619897343535);(-122.86327562163653,38.60953880334529);(-122.86339047716204,38.609538937483066);(-122.86349384713569,38.609539058110684);(-122.86360871972381,38.60953018009873);(-122.86372357523719,38.60953031390955);(-122.86383841371892,38.60953945954327) l(r:p10udt4649-p10udt4708);(-122.8626094939758,38.60951999925276);(-122.86271286391889,38.60952012057021);(-122.86282884502295,38.60952931325973);(-122.86294254061681,38.609538413708236);(-122.86304591058774,38.609538534731534);(-122.86316076611175,38.60953866909478);(-122.86327563873931,38.609529791409855);(-122.86329843884185,38.60961993760019);(-122.86327531378475,38.609701018180694) l(r:p10udt4649-p10udt4694);(-122.86171344817964,38.60960906335795);(-122.8617021866519,38.60952893765631);(-122.8618284593644,38.609528091615715);(-122.86194331486587,38.60952822717385);(-122.86204670207438,38.60951933714478);(-122.86216155756297,38.60951947248869);(-122.86227641305231,38.60951960771986);(-122.86237978299339,38.60951972933153);(-122.86249462128373,38.60952887628366) l(r:p10udt4688-p10udt4694);(-122.86130017611725,38.60950043079223);(-122.86140354602655,38.60950055326621);(-122.86150689861243,38.609509687583675);(-122.86161026853593,38.609509809875064);(-122.86171363846006,38.609509932075134);(-122.86174776819067,38.60960711350177);(-122.86172477807087,38.60969018434217) l(r:p10udt4688-p10udt4697);(-122.86055359823658,38.60950855548133);(-122.86065695072392,38.609517690549275);(-122.86077180620312,38.60951782725719);(-122.86087519353812,38.609508938263396);(-122.86097856345778,38.60950906111278);(-122.86108193337803,38.60950918387088);(-122.86118528593468,38.60951831847232) l(r:p10udt4219-p10udt4697);(-122.86041482880093,38.60999503559783);(-122.86041498594913,38.60991392819455);(-122.86042677304877,38.609830844254205);(-122.86042676836941,38.6097607390183);(-122.86042692550286,38.60967963161175);(-122.86043856819632,38.6095985379025);(-122.86043870785791,38.60952644242802) l(r:p10udt4219-p10udt4232);(-122.86042558111853,38.61037355049808);(-122.8604144358379,38.61028610783353);(-122.86042593031371,38.610193311834244);(-122.86042620269298,38.61006778687473) l(r:p10udt4232-p10udt4701);(-122.86045832749207,38.61125676096478);(-122.86046997041048,38.61117566727474);(-122.86047014495794,38.611085547955746);(-122.86047030204999,38.611004440567434);(-122.86047053186087,38.61091521803453);(-122.86045916538508,38.61082418822708);(-122.86044796473114,38.610735848783484);(-122.8604365430472,38.61064392218236);(-122.86042539771243,38.6105564795228);(-122.86043689221835,38.61046368352679) l(r:p10udt4214-p10udt4701);(-122.86046881837767,38.61177045474527);(-122.86046897547506,38.61168934736649);(-122.86046915002699,38.611599228055425);(-122.86046930712303,38.61151812067427);(-122.8604809675258,38.61142801505539);(-122.8604811246076,38.611346907671816) l(r:p10udt4214-p10uhs4_1247);(-122.85600214606363,38.6165590673143);(-122.85584106585691,38.61645945346379);(-122.85569238519516,38.616369434888355);(-122.85554117947721,38.61626879948764);(-122.85547715454818,38.61611075349564);(-122.85545341476957,38.61597100434555);(-122.85540839451794,38.61583798816595);(-122.85531284585254,38.615742067221625) l(r:p10udt3873lv-p10ulv12762);(-122.86234480875854,38.603779069809974);(-122.86239088497379,38.60370702828497) l(r:p10udt3879lv-p10ulv12796);(-122.86401055696813,38.60352868232507);(-122.86393019883887,38.603510564988746) l(r:p10udt3803lv-p10ulv12442);(-122.86692320051648,38.60593822483719);(-122.86698050895731,38.60600137372121) l(r:p10udt3813lv-p10ulv12491);(-122.86852843391826,38.60739997681613);(-122.86848242726128,38.60743597295658) l(r:p10udt3762-p10udt3813);(-122.8675892903812,38.60678618375617);(-122.86777292581189,38.60680440585082);(-122.86794374513312,38.606822553066436);(-122.86813884376727,38.60690388070388);(-122.86835704486346,38.60691313832752);(-122.8685752460169,38.60692239554435);(-122.86879344722756,38.60693165235444);(-122.86902372353893,38.6069319215571);(-122.86905721648479,38.607111243575055);(-122.86909128126348,38.6072911274535);(-122.86890762635238,38.60730127066016);(-122.86873534837626,38.60730107754315);(-122.86855228522111,38.60730986646764);(-122.86852843391826,38.60739997681613) l(r:p10udt3746-p10udt3762);(-122.86752747271865,38.60636489632376);(-122.86749617917033,38.606508395935215);(-122.86745021648584,38.60664175589765);(-122.867415512459,38.60677689598592) l(r:p10udt3746-p10udt3803);(-122.86715093512605,38.606249602692124);(-122.86734758068512,38.606245113336755) l(r:p10udt3804lv-p10ulv12443);(-122.8672218930412,38.605893504313876);(-122.86729095226623,38.60581247499648) l(r:p10udt3764-p10udt3804) l(r:p10udt3764-p10udt3803);(-122.8672218930412,38.605893504313876) l(r:p10udt3775-p10udt3803);(-122.86661335629864,38.60580269266091);(-122.86692320051648,38.60593822483719) l(r:p10udt3745-p10udt3775);(-122.86660255539834,38.60543318991819);(-122.86660222171297,38.605613428775456);(-122.86659056992646,38.6057035351096) l(r:p10udt3745-p10udt3830);(-122.8661901868348,38.60484694157142);(-122.86617840076421,38.60500914342412);(-122.86628176425103,38.60500926157744);(-122.86638512773848,38.60500937963946);(-122.86648849122649,38.60500949761019);(-122.86659185471514,38.605009615489635);(-122.86670670303657,38.6050097463597);(-122.86681006652648,38.6050098640464);(-122.86691344666205,38.60500096969802);(-122.86693616168547,38.60511740263974);(-122.86694745611534,38.605216479566636);(-122.86695890344257,38.60526236829767);(-122.86676362728217,38.60528017005766);(-122.86659138752438,38.605261949906435) l(r:p10udt3810-p10udt3830);(-122.86563926904601,38.60465705911853);(-122.86563910100355,38.604747178556295);(-122.86563893296037,38.60483729799267);(-122.86563878172085,38.60491840548421);(-122.86563861367621,38.605008524917935);(-122.86573050912132,38.60499961842807);(-122.86583387259259,38.604999736976964);(-122.8659487208947,38.604999868590895);(-122.86606355244624,38.60500901203557);(-122.8661784175012,38.605000131480594);(-122.86615573241686,38.604846902170316);(-122.86617902002772,38.604675701505045) l(r:p10udt3810-p10udt3827);(-122.86477927757618,38.60401890933443);(-122.86477926383793,38.603935111469646);(-122.86495156751823,38.60391728650673);(-122.86510085119178,38.60392647064925);(-122.86529609039191,38.603926695543585);(-122.86549198714539,38.603935948383494);(-122.86561764403747,38.603936077192664);(-122.86561745916575,38.604035208586296);(-122.86562877579044,38.60412534122105);(-122.86562860773807,38.60421546066697);(-122.86562845649028,38.604296568167136);(-122.8656282884365,38.60438668761043);(-122.86562812038191,38.60447680705233);(-122.86561646756552,38.60456691330514) l(r:p10udt3827-p10udt3879);(-122.8640332033393,38.60369042410819);(-122.86421699099232,38.60368212542624);(-122.86441222952325,38.60368235179393);(-122.86461895267611,38.60368259112236);(-122.8646301300247,38.60381015392695);(-122.86462998018868,38.60392592691697);(-122.86481633355785,38.60389916194099);(-122.86485950434627,38.60401631183508);(-122.8648248812705,38.60410639148753) l(r:p10udt3873-p10udt3879);(-122.86251411104124,38.603743379084165);(-122.86273416486709,38.60373449224276);(-122.86295238992194,38.60372573604144);(-122.86295389007078,38.6035810215608);(-122.86295417994587,38.6034193064295);(-122.86320660113171,38.60354576926522);(-122.86341334096427,38.60353699877789);(-122.86360857909551,38.60353722648563);(-122.86381531885675,38.60352845528801);(-122.86401055696813,38.60352868232507) l(r:p10udt3872lv-p10ulv12757);(-122.86760871247162,38.601640295240664);(-122.86768900326449,38.601694457851636) l(r:p10udt3869-p10udt3872);(-122.8675124842923,38.601317357883715);(-122.8675515725686,38.601487027128556);(-122.86760871247162,38.601640295240664) l(r:p10udt3869-p10udt5039);(-122.86253912808503,38.59647696308198);(-122.86246201620305,38.59652457190882);(-122.86235859606317,38.596560498224655);(-122.86225517582042,38.596596424448684);(-122.86215177271026,38.59662333862596);(-122.86203686877546,38.59665925112983);(-122.86193344823137,38.59669517706837);(-122.86183002758442,38.59673110291512);(-122.86172662412325,38.59675801671549);(-122.8616232032835,38.59679394237872);(-122.86151978234085,38.596829867950134) l(r:p10udt5032-p10udt5039);(-122.86064649423398,38.59710820257677);(-122.86078424485575,38.597135402441886);(-122.86085323329348,38.597090424614734);(-122.86092704467147,38.59703811913254);(-122.86099535522422,38.5969935505699);(-122.86110146686774,38.596956316600895);(-122.86120488819938,38.59692039140064);(-122.86130932518459,38.596893165023744);(-122.86141171322595,38.59685755267901);(-122.86151513426192,38.59682162720345);(-122.86161855519504,38.596785701636065);(-122.86172197602527,38.59674977597686);(-122.86182641256912,38.596722549136935);(-122.86192880011374,38.59668693633783);(-122.86203222064829,38.596651010403335);(-122.86214752385101,38.596614966212364);(-122.86225156076136,38.596587870683344);(-122.86235394790553,38.59655225751076);(-122.86245736803586,38.596516331198956);(-122.86251480443093,38.59645781722317);(-122.86260002409136,38.59641659030902) l(r:p10udt5032-p10udt6080);(-122.85782459466901,38.59981774080804);(-122.8579223257306,38.59972170430134);(-122.8580258768834,38.59962269829736);(-122.8581297627794,38.599532382605965);(-122.85824452826827,38.59942463739591);(-122.85837142150766,38.59931632709353);(-122.85848642331828,38.59921736203425);(-122.85860114918856,38.599109649837);(-122.85862180997093,38.598996918160864);(-122.85865665317891,38.59887914852745);(-122.85867971308704,38.598717992108725);(-122.85871457051827,38.598564259731525);(-122.8587377504397,38.59840264315837);(-122.85874941510458,38.59829476037237);(-122.85876112249383,38.59817767607676);(-122.85899956588992,38.598124852024085);(-122.85914043821155,38.597980561023775);(-122.8589568928717,38.59788120826181);(-122.85884237364714,38.5977188546741);(-122.85886564097856,38.59756567918792);(-122.85887742459238,38.59741248984605);(-122.85888920815512,38.59725930049886);(-122.85890099166674,38.597106111146374);(-122.85891277512727,38.596952921788585);(-122.858947525577,38.59679976010853);(-122.8589822935098,38.596637586460155);(-122.85901706128502,38.59647541279664);(-122.85905181127987,38.59632225107224);(-122.85909806216276,38.596160091204716);(-122.85923596878109,38.59610618530121);(-122.85937385761116,38.59606129118906);(-122.85951176383499,38.59600738495889);(-122.85963788812822,38.59610666802681);(-122.85977549619484,38.59620596471732);(-122.85990162119887,38.59630524749899);(-122.85999625585005,38.59631464179679);(-122.86007276057242,38.59645530886064);(-122.8601069817671,38.59651823057082);(-122.86015187166434,38.59657781805583);(-122.86021698706149,38.596636349823356);(-122.86027553098441,38.596700757181175);(-122.86033282626919,38.596763909395925);(-122.86040035643451,38.59682582016789);(-122.86047005200143,38.596898914540816);(-122.86052766237748,38.596962405195775);(-122.86057771484113,38.59704503683834) l(r:p10udt6080-p10udt6156);(-122.85621636691285,38.60159080498792);(-122.85621602527739,38.60176203193993);(-122.856215665658,38.60194227083134);(-122.85621530603538,38.60212250971717);(-122.8562034315606,38.60230363452148);(-122.85620310235615,38.602482973361305);(-122.8562369067349,38.60263308601323);(-122.85625965709326,38.60273215365414);(-122.85628237135836,38.60282199063857);(-122.85634962271499,38.60294467552936);(-122.85641835236363,38.60307848982114);(-122.85652471599653,38.603158172155105);(-122.85663017882328,38.60325943013009);(-122.85670969201932,38.603330940119704);(-122.85685608552417,38.603303862713815);(-122.85690234587459,38.603141703926106);(-122.85694858812569,38.6029885570583);(-122.85700633254292,38.60282641225773);(-122.85704114354846,38.60264621548014);(-122.85707593650471,38.602475030630245);(-122.85711074716376,38.60229483382043);(-122.85714553978246,38.60212364893891);(-122.85719183443395,38.60194346611166);(-122.85722662668786,38.60177228119502);(-122.85726141877524,38.601601096262705);(-122.85729621069609,38.6014299113147);(-122.85733100245042,38.601258726351006);(-122.85736581187489,38.60107852942544);(-122.8574006032917,38.6009073444301);(-122.85743539454198,38.6007361594191);(-122.85747018562576,38.600564974392434);(-122.85751647845251,38.60038479138518);(-122.85755126917151,38.600213606323386);(-122.85758605972404,38.600042421245924);(-122.85762085011002,38.59987123615278) l(r:p10udt6050lv-p10ulv21025);(-122.85274905863052,38.59550341287905);(-122.85216231926313,38.59603437974406) l(r:p10udt6050lv-p10ulv21092);(-122.85274905863052,38.59550341287905);(-122.85227706086262,38.59607958450669) l(r:p10udt6051lv-p10ulv21026);(-122.85260003360018,38.59537705761506);(-122.8521975825477,38.59563789735786) l(r:p10udm20851-p10ulv21077);(-122.85274905863052,38.59550341287905);(-122.85259951758832,38.59562939229697) l(r:p10udm20851-p10udt6051lv);(-122.85276591347267,38.59549116966625) l(r:p10udt6048lv-p10ulv21023);(-122.8523134683565,38.59512436100408);(-122.85181913282814,38.59539409544719) l(r:p10udt6084lv-p10ulv21083);(-122.85201544041367,38.59486263721142);(-122.85147507972248,38.59517737189093) l(r:p10udt6065lv-p10ulv21047);(-122.85161421455211,38.59452868603285);(-122.85110809947088,38.59494259419719) l(r:p10udt3089lv-p10ulv10180);(-122.85010880075058,38.589543142033946);(-122.8503386724383,38.589435292380145) l(r:p10udt3087-p10udt3089);(-122.85028134445494,38.58987145445075);(-122.85020032257773,38.58970547493907);(-122.85010880075058,38.589543142033946) l(r:p10udt3085-p10udt3087);(-122.85055689565094,38.59036211388038);(-122.85045433335148,38.59020050750158);(-122.85038336746564,38.590030140523574) l(r:p10udt3085-p10udt6068);(-122.8514141460363,38.59194799281248);(-122.85133450154206,38.59179555186712);(-122.85127629033546,38.591629249455075);(-122.851196502163,38.59147652581387);(-122.85112771314829,38.59132280269302);(-122.85103553876667,38.591157470355306);(-122.85094399459106,38.591004150095394);(-122.85084196897337,38.59084546453251);(-122.85075044426095,38.59068313215502);(-122.8506694203966,38.59051715298714) l(r:p10udt6067-p10udt6068);(-122.85110677026898,38.59419966074552);(-122.85115583742267,38.594068493098185);(-122.85124809260836,38.59387935884515);(-122.85106454999227,38.593789005633);(-122.85123711170975,38.59363602146287);(-122.85142067272933,38.59371736245124);(-122.85161573575303,38.59378970575564);(-122.85181079916907,38.59386204873481);(-122.85191414660596,38.593862179546775);(-122.85199456495339,38.593844257315865);(-122.8520750017545,38.59381732307426);(-122.8521440293977,38.59375432644901);(-122.85217870025787,38.59364622649848);(-122.85213302700605,38.5935200010954);(-122.85207587093949,38.59339376115905);(-122.85201871507246,38.593267521192466);(-122.85199600820636,38.593141324764694);(-122.85196181850537,38.59301511380588);(-122.8518817529004,38.59286180884364);(-122.85181317049985,38.59270851836299);(-122.85172248056095,38.592558631141515);(-122.85165368939855,38.592404908353984);(-122.85157383450931,38.592252035374585);(-122.85150439550425,38.59209531230142) l(r:p10udt6065-p10udt6067);(-122.8514947673696,38.59446017159012);(-122.8513891624927,38.59438223403039);(-122.85128503667282,38.594300329223174) l(r:p10udt6065-p10udt6084);(-122.85185799058542,38.59474240254737);(-122.85160590351997,38.59453490477815) l(r:p10udt6048-p10udt6084);(-122.85215601745958,38.59500412674424);(-122.85200675056073,38.59486852844219) l(r:p10udt6048-p10udt6051);(-122.85244258167339,38.59525682374388);(-122.85230473760596,38.595130214903044) l(r:p10udt6050-p10udt6051);(-122.85259160617915,38.59538317920993) l(r:p10udt6050-p10udt6063);(-122.85273051670451,38.595526198719874) l(r:p10udt6040-p10udt6063);(-122.85352919442052,38.59633710576063);(-122.85344919929832,38.59620202485527);(-122.85336909034221,38.59606674488577);(-122.8532888858966,38.59592225389933);(-122.85323151095109,38.59580464921894);(-122.85316303025026,38.595678710538195);(-122.85300631987622,38.595592177411405);(-122.85287334029343,38.59557554237424) l(r:p10udt6040-p10udt6062);(-122.8542727330366,38.59771668511192);(-122.854192657321,38.59756338183413);(-122.85412385614366,38.59740966061053);(-122.85404399049956,38.59725678942635);(-122.85397531937818,38.59712143981441);(-122.8539066919644,38.59698617455801);(-122.85383806480749,38.59685090925875);(-122.85376952637225,38.596724859028875);(-122.85370079297238,38.5965893904833);(-122.8536102486968,38.59648278554685) l(r:p10udt6071lv-p10ulv21054);(-122.85277910928718,38.597657312587806);(-122.8525730118349,38.59735965803478) l(r:p10udt6057lv-p10ulv21034);(-122.8521470831465,38.59786379119106);(-122.85221576352093,38.59797202160725) l(r:p10udt6060lv-p10ulv21040);(-122.85204365597045,38.59789970836956);(-122.85228364987837,38.598467765767865) l(r:p10udt6057-p10udt6060);(-122.85204365597045,38.59789970836956) l(r:p10udt6057-p10udt6071);(-122.85267568272093,38.597693230327344);(-122.85257225605183,38.59772914797505);(-122.8524688292799,38.59776506553093);(-122.85235391872878,38.59780096850786);(-122.85225051021968,38.59782787392073);(-122.8521470831465,38.59786379119106) l(r:p10udt6055-p10udt6071);(-122.85277910928718,38.597657312587806) l(r:p10udt6042-p10udt6055);(-122.85342259710936,38.59745985689039);(-122.85330768751744,38.597495760807575);(-122.85320427979993,38.597522667066166);(-122.85310085363778,38.59755858518307);(-122.85300207452633,38.59760274429025);(-122.85288251735552,38.597630406706216) l(r:p10udt6042-p10udt6062);(-122.85426210740589,38.597720103187406);(-122.8541820317091,38.597566799902474);(-122.85411302113484,38.597412646470644);(-122.85403336492591,38.597260207481455);(-122.85395119071826,38.59728929024194);(-122.85384776526149,38.597325209021754);(-122.85373287438345,38.597352101407544);(-122.85362944872827,38.59738801999366);(-122.85352602297023,38.59742393848793) l(r:p10udt6085lv-p10ulv21084);(-122.8540391356069,38.59922697394168);(-122.85394772094322,38.59900156062616) l(r:p10udt6076lv-p10ulv21060);(-122.85385526521623,38.59928982826664);(-122.85386665776512,38.599334902344694) l(r:p10udt6076-p10udt6085);(-122.85385526521623,38.59928982826664) l(r:p10udt6074-p10udt6085);(-122.85421152178313,38.59916410502125);(-122.8540391356069,38.59922697394168) l(r:p10udt6070-p10udt6074);(-122.85530520737584,38.599734202388824);(-122.85524653967497,38.59964207280678);(-122.8551893008982,38.59955188229417);(-122.85512061455488,38.59944365364062);(-122.85506275658605,38.59933431008943);(-122.85499400149422,38.59919880699942);(-122.85493653254001,38.59907193637211);(-122.85486840023604,38.598946722177814);(-122.85472864218094,38.59899352062563);(-122.8545792428007,38.59904740690474);(-122.8543953915438,38.599101250133955) l(r:p10udt6155lv-p10ulv21215);(-122.85424316663351,38.60055198775079);(-122.85425479655196,38.60047990648657) l(r:p10udt6146-p10udt6155);(-122.85578615067294,38.600293216869254);(-122.85574174363174,38.600249685147595);(-122.85557582978629,38.60030130483226);(-122.8553919399012,38.60037317350382);(-122.85523154283811,38.6001837238494);(-122.8550361507155,38.600264589703215);(-122.85484077630709,38.60033644328249);(-122.85464540150987,38.60040829653394);(-122.85443854221963,38.60048013517419);(-122.85424316663351,38.60055198775079) l(r:p10udt3854lv-p10ulv12678);(-122.86255799746237,38.60641081334844);(-122.86258108788371,38.60634775674375) l(r:p10udt3756lv-p10ulv12317);(-122.86411982885086,38.60648473294347);(-122.86411969288783,38.606556828466694) l(r:p10udt3772lv-p10ulv12344);(-122.86475062783572,38.60695408559785);(-122.86461273840615,38.606989973989464) l(r:p10udt3756-p10udt3772);(-122.86420017335756,38.60651186208697);(-122.86429198602585,38.606548016435084);(-122.8643723137044,38.60658415740074);(-122.86446412655148,38.60662031161367);(-122.86454445439722,38.60665645246102);(-122.86459034396198,38.60668354144924);(-122.86465918683791,38.606719668927575);(-122.8647165277525,38.60676479502893);(-122.86473939646628,38.606818893222346);(-122.86475076316935,38.60688199007767);(-122.86475062783572,38.60695408559785) l(r:p10udt3736-p10udt3756);(-122.86354572916152,38.6064029572881);(-122.86366057968944,38.60640309115167);(-122.86376394516519,38.606403211532516);(-122.86387879569456,38.60640334518192);(-122.86395915703477,38.60642146255046);(-122.86402801634992,38.60644857846021);(-122.86411982885086,38.60648473294347) l(r:p10udt3736-p10udt3816);(-122.8631092800407,38.60641145951876);(-122.86322413058008,38.60641159381069);(-122.86332751316058,38.60640270263678);(-122.86344236368706,38.6064028367145) l(r:p10udt3816-p10udt3854);(-122.86267284799806,38.60641094818141);(-122.86277621348084,38.60641106943473);(-122.86289108116699,38.60640219211342);(-122.86299442950211,38.6064113251141) l(r:p10udt3812-p10udt3854);(-122.86186913297846,38.606822097620196);(-122.86184611132022,38.60674027054983);(-122.86182329665344,38.606659135976436);(-122.86180048203778,38.60657800139758);(-122.86178888279693,38.606494925091006);(-122.86189477686386,38.60640404531116);(-122.86200673216133,38.606401152641325);(-122.86212158267897,38.60640128801531);(-122.8622249481455,38.60640140975552);(-122.86233978144666,38.60641055685524);(-122.8624546319809,38.606410691902376);(-122.86255799746237,38.60641081334844) l(r:p10udt3760lv-p10ulv12324);(-122.86795253807581,38.60829151228158);(-122.86789517739334,38.60825539971099) l(r:p10udt3728-p10udt3760);(-122.86795006267631,38.60828271213181) l(r:p10udt3728-p10udt3785);(-122.86710233916318,38.60844375311023);(-122.86720570758126,38.60844387045621);(-122.86730907599993,38.60844398771089);(-122.86742392979913,38.608444117886776);(-122.86752729821907,38.60844423494869);(-122.86763066663961,38.608444351919296);(-122.86764261485102,38.60837909601057);(-122.8677154081922,38.60832938107564);(-122.8677898050762,38.60831848703162);(-122.86784913681328,38.608309419473734) l(r:p10udt3777-p10udt3785);(-122.86667442623266,38.608446249638895);(-122.86678074853307,38.60844338744991);(-122.86688411694927,38.60844350507996);(-122.8669874687282,38.608452634557196) l(r:p10udt3748-p10udt3777);(-122.86566664994949,38.60845112580215);(-122.86578037761986,38.608442289065756);(-122.86588488895143,38.608442364164695);(-122.8659997427414,38.60844249573837);(-122.86611459653211,38.608442627199324);(-122.86622943359095,38.60845177048576);(-122.86634430411583,38.608442889783056);(-122.86644767252945,38.608443007798634);(-122.86656267654175,38.608362031374334) l(r:p10udt3748-p10udt3801);(-122.86431137513199,38.60844956219198);(-122.86442621196777,38.60845870724757);(-122.8645410657769,38.60845884025293);(-122.86465591958681,38.60845897314558);(-122.86475930493292,38.608450080714924);(-122.86487415872989,38.60845021339334);(-122.86498901252759,38.60845034595905);(-122.86510386632607,38.608450478412024);(-122.8652187201253,38.60845061075227);(-122.86532208854524,38.60845072976212);(-122.8654369423459,38.60845086188818);(-122.86555177932988,38.6084600058395) l(r:p10udt3801-p10udt4645);(-122.86326558991706,38.60877277536421);(-122.8632657438491,38.6086916679342);(-122.86326589778051,38.60861056050307);(-122.86326605171129,38.6085294530708);(-122.8632547202628,38.60844833221683);(-122.86339256189483,38.60843948125229);(-122.86350741566837,38.6084396152721);(-122.86362226944269,38.608439749179176);(-122.86373712321775,38.608439882973556);(-122.86385310271696,38.60844907464666);(-122.86396679673885,38.60845817409882);(-122.86408166754626,38.608449295617696);(-122.8641965043518,38.60845844089864) l(r:p10udt4645-p10udt4708);(-122.86324101101465,38.609619870500126);(-122.86325265053149,38.6095387765042);(-122.86327579266421,38.60944868399034);(-122.86327596369111,38.609358564633986);(-122.86325321495245,38.60927842565517);(-122.86325331764722,38.60918731101236);(-122.86325348870065,38.60909719165206);(-122.86324217428557,38.6090070588684);(-122.86324232824526,38.60892595144172);(-122.86327692142775,38.60885389621274) l(r:p10udt4940lv-p10ulv16078);(-122.86697395329898,38.60955207789234);(-122.86697373697588,38.60966923306927) l(r:p10udt3809lv-p10ulv12464);(-122.87058389884743,38.60761855503023);(-122.87060704737759,38.607519449078474) l(r:p10udt3799-p10udt3809);(-122.87058389884743,38.60761855503023) l(r:p10udt4867lv-p10ulv15887);(-122.87282126377757,38.608900706010786);(-122.8729246646656,38.608882794426385) l(r:p10udt4825-p10udt4867);(-122.87148994766024,38.60834051020434);(-122.87160462465073,38.60843976761917);(-122.8717193019571,38.608539024920475);(-122.87179958748476,38.60860219660586);(-122.87187985712718,38.60867438017562);(-122.87198325792197,38.60865646942219);(-122.87219002738364,38.60863867152096);(-122.87240833003419,38.60859384995696);(-122.87254597953869,38.60869313149122);(-122.87267238288855,38.60865722126471);(-122.8727181812635,38.60873837870463);(-122.87277546518371,38.60881954861308);(-122.87282126377757,38.608900706010786) l(r:p10udt3743lv-p10ulv12297);(-122.87067794836717,38.60641105637444);(-122.87055161273656,38.60641091669401) l(r:p10udt3741-p10udt3743) l(r:p10udt3741-p10udt3771);(-122.8710609018358,38.60651000982782);(-122.87090742346685,38.60653747719072);(-122.8707580366328,38.60658237210535);(-122.87071225800238,38.606492201931665);(-122.87067794836717,38.60641105637444) l(r:p10udt3771-p10udt6226);(-122.87207763740803,38.607250707094096);(-122.87200898240143,38.60710644066849);(-122.87192884252329,38.6069621616307);(-122.8718602041156,38.60680888316889);(-122.87163067830774,38.60670950005104);(-122.87146991898557,38.60669129967459);(-122.8713067536401,38.60667289638759);(-122.87124181435435,38.60657104102168);(-122.87120618046885,38.60645669873045) l(r:p10udt4825-p10udt6226);(-122.87149808040569,38.60833414671862);(-122.8716393537452,38.60828660243401);(-122.8716395785267,38.608160435266925);(-122.87163980330682,38.608034268097086);(-122.87164002808554,38.60790810092452);(-122.87165157759846,38.60787206575787);(-122.87162886392782,38.60772784950334);(-122.87178975389673,38.60767395413144);(-122.87184719622228,38.60766500509218);(-122.87203107239324,38.60760212259875);(-122.87222641749982,38.60754826429786);(-122.87215777790372,38.607394986023934) l(r:p10udt3799-p10udt4825);(-122.87084506351708,38.60773626810211);(-122.8710165764952,38.607691590865876);(-122.87113475564294,38.6078624858167);(-122.87119195652515,38.607988716211885);(-122.87124915760724,38.60811494657671);(-122.87129274712134,38.6081456351848);(-122.87133935792852,38.608209706139185);(-122.87140966269565,38.60827733830466) l(r:p10udt3799-p10udt6324);(-122.87006533091427,38.60866484909369);(-122.87003130032045,38.608566569418);(-122.87019179292386,38.60851030460855);(-122.87024928480237,38.60847432053764);(-122.87034123276459,38.60843837462011);(-122.87038727156161,38.60838435387496);(-122.87043337511648,38.60829428535131);(-122.87042200321598,38.6082311890541);(-122.87044515216796,38.6081320831455);(-122.87049123928888,38.60805102653512);(-122.87040028142638,38.60786452454118);(-122.87053286369417,38.607808557487914);(-122.87066400440096,38.607780858851164) l(r:p10udt4975-p10udt6324);(-122.86893176841086,38.608537083596914);(-122.86903858771089,38.60850979644582);(-122.86914303856686,38.60848256315671);(-122.86925008895116,38.60845518447749);(-122.86935350642021,38.60842826410829);(-122.86945694014115,38.60839233170796);(-122.86954887207477,38.60836539835256);(-122.86964080393984,38.60833846492469);(-122.86972103853029,38.60842867385325);(-122.86988741610426,38.60849313005106);(-122.87005268722797,38.60854810088658);(-122.87009883270954,38.60865866232512);(-122.87008805064619,38.60871746450521) l(r:p10udt4927-p10udt4975);(-122.86776809390763,38.60903465593623);(-122.8677448373972,38.608961515947534);(-122.86773408256788,38.608900018258026);(-122.86784007727803,38.60885127427595);(-122.86793602773842,38.60883278488695);(-122.8680279607997,38.60880585273041);(-122.86811989379238,38.60877892050139);(-122.86821182671653,38.60875198819991);(-122.86831564599242,38.6087249578675);(-122.86842268059092,38.60870659188612);(-122.86852611517372,38.60867066031177);(-122.86862953322102,38.60864374058439);(-122.86872933476374,38.608608267215274);(-122.86884362055449,38.60857252963253) l(r:p10udt4797-p10udt4867);(-122.87276496319008,38.60882319729749);(-122.87270813345931,38.608742744368875);(-122.87266188091343,38.60866086994);(-122.87266110453959,38.60854005354351);(-122.87264976250853,38.60845893358104);(-122.87255805478256,38.60835970220653);(-122.87251217709418,38.60832360440286);(-122.8724088247865,38.60831447980387);(-122.8723284272557,38.60831439211758);(-122.87219061890495,38.60830522972919);(-122.87198389843896,38.608295991812646);(-122.87185755949668,38.60829585353643);(-122.87185784801005,38.608133638605665);(-122.87186962182773,38.60797143624644);(-122.87188139559136,38.60780923388143);(-122.87190444626512,38.607764199321394);(-122.87199631244339,38.60777331180279);(-122.8721226824603,38.607755426044875);(-122.8722720069775,38.60774657716593);(-122.87242131550079,38.60774674003788);(-122.8725475897151,38.607782925469955);(-122.87261637390947,38.60785509603489);(-122.87274247331757,38.607990412610974);(-122.87289155976157,38.60811674206046);(-122.87305213207874,38.60824308377824);(-122.8732012195884,38.60836941282958);(-122.87333886976015,38.60846869343615);(-122.87347652031131,38.60856797387976);(-122.87361413962375,38.60868527804141);(-122.8737517751762,38.608793570099266);(-122.87384350088003,38.6088837885944);(-122.8739237413461,38.608973994643016);(-122.87398101104935,38.609064175900706);(-122.87403826513946,38.60916336906912);(-122.87408401812283,38.6092715617899);(-122.87414124103182,38.60938877878411);(-122.87419796085197,38.60950334491842);(-122.87408717846107,38.60954146142106);(-122.87397289371879,38.60957720412067);(-122.87386884241786,38.6096043099849);(-122.87377109267553,38.60964817337592);(-122.87366199157243,38.60966717054065) l(r:p10udt3728-p10udt4927);(-122.86780017735116,38.60834412287512);(-122.86772649195441,38.60835409546255);(-122.86766843335855,38.6083951572855);(-122.86765292696558,38.60845776049581);(-122.86766468733865,38.60853255500266);(-122.86768745672381,38.60860444565488);(-122.86769913210082,38.60868775219024);(-122.8677219705697,38.60875987366465);(-122.86773334018692,38.60882297021448);(-122.86778961834095,38.6088885723036);(-122.86782322621875,38.60894834802791);(-122.86782458351928,38.60902699492669);(-122.86782437225814,38.6091002580066) padswitch(r:p10udt3720-p10udt3730)p10u_32627 padswitch(r:p10udt3720-p10udt3730)p10u_32628 padswitch(r:p10udt3722-p10udt3752)p10u_32629 padswitch(r:p10udt3722-p10udt3752)p10u_32630 padswitch(r:p10udt3722-p10udt3737)p10u_32631 padswitch(r:p10udt3722-p10udt3737)p10u_32632 padswitch(r:p10udt3737-p10udt3754)p10u_32633 padswitch(r:p10udt3737-p10udt3754)p10u_32634 padswitch(r:p10udt3720-p10udt3754)p10u_32635 padswitch(r:p10udt3720-p10udt3754)p10u_32636 padswitch(r:p10udt3720-p10udt4685)p10u_32637 padswitch(r:p10udt3720-p10udt4685)p10u_32638 padswitch(r:p10udt3832-p10udt3837)p10u_32639 padswitch(r:p10udt3832-p10udt3837)p10u_32640 padswitch(r:p10udt3848-p10udt3852)p10u_32641 padswitch(r:p10udt3848-p10udt3852)p10u_32642 padswitch(r:p10udt3846-p10udt3856)p10u_32643 padswitch(r:p10udt3846-p10udt3856)p10u_32644 padswitch(r:p10udt3846-p10udt3874)p10u_32645 padswitch(r:p10udt3846-p10udt3874)p10u_32646 padswitch(r:p10udt3852-p10udt3874)p10u_32647 padswitch(r:p10udt3852-p10udt3874)p10u_32648 padswitch(r:p10udt3852-p10udt3857)p10u_32649 padswitch(r:p10udt3852-p10udt3857)p10u_32650 padswitch(r:p10udt3847-p10udt3865)p10u_32651 padswitch(r:p10udt3847-p10udt3865)p10u_32652 padswitch(r:p10udt3847-p10udt3858)p10u_32653 padswitch(r:p10udt3847-p10udt3858)p10u_32654 padswitch(r:p10udt3863-p10udt3875)p10u_32655 padswitch(r:p10udt3863-p10udt3875)p10u_32656 padswitch(r:p10udt3858-p10udt3875)p10u_32657 padswitch(r:p10udt3858-p10udt3875)p10u_32658 padswitch(r:p10udt3857-p10udt3858)p10u_32659 padswitch(r:p10udt3857-p10udt3858)p10u_32660 padswitch(r:p10udt3780-p10udt3810)p10u_32661 padswitch(r:p10udt3780-p10udt3810)p10u_32662 padswitch(r:p10udt3861-p10udt3868)p10u_32665 padswitch(r:p10udt3861-p10udt3868)p10u_32666 padswitch(r:p10udt3855-p10udt3861)p10u_32667 padswitch(r:p10udt3855-p10udt3861)p10u_32668 padswitch(r:p10udt3742-p10udt3765)p10u_32669 padswitch(r:p10udt3742-p10udt3765)p10u_32670 padswitch(r:p10udt6125-p10udt6162)p10u_32671 padswitch(r:p10udt6125-p10udt6162)p10u_32672 padswitch(r:p10udt6124-p10udt6125)p10u_32673 padswitch(r:p10udt6124-p10udt6125)p10u_32674 padswitch(r:p10udt6124-p10udt6158)p10u_32675 padswitch(r:p10udt6124-p10udt6158)p10u_32676 padswitch(r:p10udt6121-p10udt6131)p10u_32677 padswitch(r:p10udt6121-p10udt6131)p10u_32678 padswitch(r:p10udt5489-p10udt6154)p10u_32679 padswitch(r:p10udt5489-p10udt6154)p10u_32680 padswitch(r:p10udt6127-p10udt6154)p10u_32681 padswitch(r:p10udt6127-p10udt6154)p10u_32682 padswitch(r:p10udt6127-p10udt6131)p10u_32683 padswitch(r:p10udt6127-p10udt6131)p10u_32684 padswitch(r:p10udt6131-p10udt6144)p10u_32685 padswitch(r:p10udt6131-p10udt6144)p10u_32686 padswitch(r:p10udt5478-p10udt5479)p10u_32687 padswitch(r:p10udt5478-p10udt5479)p10u_32688 padswitch(r:p10udt5476-p10udt5482)p10u_32689 padswitch(r:p10udt5476-p10udt5482)p10u_32690 padswitch(r:p10udt5482-p10udt5488)p10u_32691 padswitch(r:p10udt5482-p10udt5488)p10u_32692 padswitch(r:p10udt5483-p10udt5490)p10u_32693 padswitch(r:p10udt5483-p10udt5490)p10u_32694 padswitch(r:p10udt5485-p10udt5490)p10u_32695 padswitch(r:p10udt5485-p10udt5490)p10u_32696 padswitch(r:p10udt5485-p10udt5488)p10u_32697 padswitch(r:p10udt5485-p10udt5488)p10u_32698 padswitch(r:p10udt5478-p10udt5488)p10u_32699 padswitch(r:p10udt5478-p10udt5488)p10u_32700 padswitch(r:p10udt5478-p10udt5492)p10u_32701 padswitch(r:p10udt5478-p10udt5492)p10u_32702 padswitch(r:p10udt5478-p10udt6120)p10u_32703 padswitch(r:p10udt5478-p10udt6120)p10u_32704 padswitch(r:p10udt6120-p10udt6122)p10u_32705 padswitch(r:p10udt6120-p10udt6122)p10u_32706 padswitch(r:p10udt6120-p10udt6157)p10u_32707 padswitch(r:p10udt6120-p10udt6157)p10u_32708 padswitch(r:p10udt6144-p10udt6157)p10u_32709 padswitch(r:p10udt6144-p10udt6157)p10u_32710 padswitch(r:p10udt6144-p10udt6158)p10u_32711 padswitch(r:p10udt6144-p10udt6158)p10u_32712 padswitch(r:p10udt6158-p10udt6161)p10u_32713 padswitch(r:p10udt6158-p10udt6161)p10u_32714 padswitch(r:p10udt5030-p10udt5032)p10u_32715 padswitch(r:p10udt5030-p10udt5032)p10u_32716 padswitch(r:p10udt5014-p10udt5043)p10u_32717 padswitch(r:p10udt5014-p10udt5043)p10u_32718 padswitch(r:p10udt4523-p10udt6214)p10u_32719 padswitch(r:p10udt4523-p10udt6214)p10u_32720 padswitch(r:p10udt4523-p10udt4528)p10u_32721 padswitch(r:p10udt4523-p10udt4528)p10u_32722 padswitch(r:p10udt4527-p10udt4528)p10u_32723 padswitch(r:p10udt4527-p10udt4528)p10u_32724 padswitch(r:p10udt5027-p10udt5029)p10u_32725 padswitch(r:p10udt5027-p10udt5029)p10u_32726 padswitch(r:p10udt5021-p10udt5027)p10u_32727 padswitch(r:p10udt5021-p10udt5027)p10u_32728 padswitch(r:p10udt5010-p10udt5021)p10u_32729 padswitch(r:p10udt5010-p10udt5021)p10u_32730 padswitch(r:p10udt5010-p10udt5017)p10u_32731 padswitch(r:p10udt5010-p10udt5017)p10u_32732 padswitch(r:p10udt5017-p10udt5043)p10u_32733 padswitch(r:p10udt5017-p10udt5043)p10u_32734 padswitch(r:p10udt5028-p10udt5043)p10u_32735 padswitch(r:p10udt5028-p10udt5043)p10u_32736 padswitch(r:p10udt5028-p10udt5034)p10u_32737 padswitch(r:p10udt5028-p10udt5034)p10u_32738 padswitch(r:p10udt5034-p10udt5038)p10u_32739 padswitch(r:p10udt5034-p10udt5038)p10u_32740 padswitch(r:p10udt5036-p10udt5038)p10u_32741 padswitch(r:p10udt5036-p10udt5038)p10u_32742 padswitch(r:p10udt5036-p10udt6043)p10u_32743 padswitch(r:p10udt5036-p10udt6043)p10u_32744 padswitch(r:p10udt5032-p10udt5036)p10u_32745 padswitch(r:p10udt5032-p10udt5036)p10u_32746 padswitch(r:p10udt3090-p10udt6041)p10u_32749 padswitch(r:p10udt3090-p10udt6041)p10u_32750 padswitch(r:p10udt6045-p10udt6088)p10u_32751 padswitch(r:p10udt6045-p10udt6088)p10u_32752 padswitch(r:p10udt6060-p10udt6088)p10u_32753 padswitch(r:p10udt6060-p10udt6088)p10u_32754 padswitch(r:p10udt3769-p10udt3786)p10u_32765 padswitch(r:p10udt3769-p10udt3786)p10u_32766 padswitch(r:p10udt3772-p10udt3786)p10u_32767 padswitch(r:p10udt3772-p10udt3786)p10u_32768 padswitch(r:p10udt3842-p10udt4685)p10u_32783 padswitch(r:p10udt3842-p10udt4685)p10u_32784 padswitch(r:p10udt4929-p10udt4975)p10u_32803 padswitch(r:p10udt4929-p10udt4975)p10u_32804 padswitch(r:p10udt4668-p10udt4694)p10u_32829 padswitch(r:p10udt4668-p10udt4694)p10u_32830 padswitch(r:p10udt3719-p10udt3812)p10u_32763 padswitch(r:p10udt3719-p10udt3812)p10u_32764 padswitch(r:p10udt3733-p10udt3812)p10u_32779 padswitch(r:p10udt3733-p10udt3812)p10u_32780 padswitch(r:p10udt3733-p10udt4685)p10u_32781 padswitch(r:p10udt3733-p10udt4685)p10u_32782 padswitch(r:p10udt4674-p10udt4685)p10u_32785 padswitch(r:p10udt4674-p10udt4685)p10u_32786 padswitch(r:p10udt4674-p10udt4688)p10u_32787 padswitch(r:p10udt4674-p10udt4688)p10u_32788 padswitch(r:p10udt4905-p10udt4927)p10u_32807 padswitch(r:p10udt4905-p10udt4927)p10u_32808 padswitch(r:p10udt4905-p10udt4981)p10u_32809 padswitch(r:p10udt4905-p10udt4981)p10u_32810 padswitch(r:p10udt4940-p10udt4981)p10u_32811 padswitch(r:p10udt4940-p10udt4981)p10u_32812 padswitch(r:p10udt4698-p10udt4940)p10u_32813 padswitch(r:p10udt4698-p10udt4940)p10u_32814 padswitch(r:p10udt4698-p10udt4971)p10u_32815 padswitch(r:p10udt4698-p10udt4971)p10u_32816 padswitch(r:p10udt4682-p10udt4971)p10u_32817 padswitch(r:p10udt4682-p10udt4971)p10u_32818 padswitch(r:p10udt4646-p10udt4682)p10u_32819 padswitch(r:p10udt4646-p10udt4682)p10u_32820 padswitch(r:p10udt4646-p10udt4665)p10u_32821 padswitch(r:p10udt4646-p10udt4665)p10u_32822 padswitch(r:p10udt4665-p10udt4708)p10u_32823 padswitch(r:p10udt4665-p10udt4708)p10u_32824 padswitch(r:p10udt4649-p10udt4708)p10u_32825 padswitch(r:p10udt4649-p10udt4708)p10u_32826 padswitch(r:p10udt4649-p10udt4694)p10u_32827 padswitch(r:p10udt4649-p10udt4694)p10u_32828 padswitch(r:p10udt4688-p10udt4694)p10u_32831 padswitch(r:p10udt4688-p10udt4694)p10u_32832 padswitch(r:p10udt4688-p10udt4697)p10u_32833 padswitch(r:p10udt4688-p10udt4697)p10u_32834 padswitch(r:p10udt4219-p10udt4697)p10u_32835 padswitch(r:p10udt4219-p10udt4697)p10u_32836 padswitch(r:p10udt4219-p10udt4232)p10u_32837 padswitch(r:p10udt4219-p10udt4232)p10u_32838 padswitch(r:p10udt4232-p10udt4701)p10u_32839 padswitch(r:p10udt4232-p10udt4701)p10u_32840 padswitch(r:p10udt4214-p10udt4701)p10u_32841 padswitch(r:p10udt4214-p10udt4701)p10u_32842 padswitch(r:p10udt3810-p10udt3827)p10u_32663 padswitch(r:p10udt3810-p10udt3827)p10u_32664 padswitch(r:p10udt5032-p10udt5039)p10u_32747 padswitch(r:p10udt5032-p10udt5039)p10u_32748 padswitch(r:p10udt6057-p10udt6060)p10u_32755 padswitch(r:p10udt6057-p10udt6060)p10u_32756 padswitch(r:p10udt6057-p10udt6071)p10u_32757 padswitch(r:p10udt6057-p10udt6071)p10u_32758 padswitch(r:p10udt6055-p10udt6071)p10u_32759 padswitch(r:p10udt6055-p10udt6071)p10u_32760 padswitch(r:p10udt6042-p10udt6055)p10u_32761 padswitch(r:p10udt6042-p10udt6055)p10u_32762 padswitch(r:p10udt3756-p10udt3772)p10u_32769 padswitch(r:p10udt3756-p10udt3772)p10u_32770 padswitch(r:p10udt3736-p10udt3756)p10u_32771 padswitch(r:p10udt3736-p10udt3756)p10u_32772 padswitch(r:p10udt3736-p10udt3816)p10u_32773 padswitch(r:p10udt3736-p10udt3816)p10u_32774 padswitch(r:p10udt3816-p10udt3854)p10u_32775 padswitch(r:p10udt3816-p10udt3854)p10u_32776 padswitch(r:p10udt3812-p10udt3854)p10u_32777 padswitch(r:p10udt3812-p10udt3854)p10u_32778 padswitch(r:p10udt3728-p10udt3760)p10u_32789 padswitch(r:p10udt3728-p10udt3760)p10u_32790 padswitch(r:p10udt3728-p10udt3785)p10u_32791 padswitch(r:p10udt3728-p10udt3785)p10u_32792 padswitch(r:p10udt3777-p10udt3785)p10u_32793 padswitch(r:p10udt3777-p10udt3785)p10u_32794 padswitch(r:p10udt3748-p10udt3777)p10u_32795 padswitch(r:p10udt3748-p10udt3777)p10u_32796 padswitch(r:p10udt3748-p10udt3801)p10u_32797 padswitch(r:p10udt3748-p10udt3801)p10u_32798 padswitch(r:p10udt3801-p10udt4645)p10u_32799 padswitch(r:p10udt3801-p10udt4645)p10u_32800 padswitch(r:p10udt4645-p10udt4708)p10u_32801 padswitch(r:p10udt4645-p10udt4708)p10u_32802 padswitch(r:p10udt4927-p10udt4975)p10u_32805 padswitch(r:p10udt4927-p10udt4975)p10u_32806 elbswitch(r:p10udt4797-p10udt4867)p10u_36729 padswitch(r:p10udt3728-p10udt4927)p10u_36730 padswitch(r:p10udt3728-p10udt4927)p10u_36731 recloser_disswitch(r:p10udt3824-p10udt3853)p10u_36751 goab_disswitch(r:p10udt6080-p10udt6156)p10u_36771 goab_disswitch(r:p10udt6065-p10udt6084)p10u_36789 goab_disswitch(r:p10udt3873-p10udt3879)p10u_36805 disswitch(r:p10udt3793-p10udt3809)p10u_36845 disswitch(r:p10udt4970-p10udt6324)p10u_36883 goab_disswitch(r:p10udt6062-p10udt6070)p10u_36885 disswitch(r:p10udt3877-p10udt3878)p10u_36917 recloser_disswitch(r:p10udt3825-p10udt3873)p10u_36937 goab_disswitch(r:p10udt3827-p10udt3879)p10u_36981 goab_disswitch(r:p10udt6067-p10udt6068)p10u_36983 goab_disswitch(r:p10udt3775-p10udt3803)p10u_37000 recloser_disswitch(r:p10udt4825-p10udt6226)p10u_37003 disswitch(r:p10udt6143-p10udt6153)p10u_37011 disswitch(r:p10udt6140-p10udt6142)p10u_37029 disswitch(r:p10udt3873-p10udt3876)p10u_37050 disswitch(r:p10udt3827-p10udt3828)p10u_37064 goab_disswitch(r:p10udt6146-p10udt6155)p10u_37100 disswitch(r:p10udt6140-p10udt6161)p10u_37167 goab_disswitch(r:p10udt3746-p10udt3762)p10u_37186 l(r:p10udt4214-p10uhs4_1247)_disconnect l(r:p10udt4214-p10uhs4_1247)_cont;(-122.85510036939189,38.61530690808956);(-122.85503134091036,38.61516183048384);(-122.85494101602944,38.615012747733566);(-122.85485029164893,38.61486286344088);(-122.85477066513133,38.6147194778032);(-122.85476601350008,38.61463832761285);(-122.85477773605966,38.61452118685204);(-122.85470577719983,38.614451650104854);(-122.85458633107392,38.61436738341565);(-122.85446016366183,38.61427710708997);(-122.85435641187388,38.61419913333182);(-122.8543315396449,38.61408611639);(-122.85434289435437,38.61392928083439);(-122.85442733940116,38.61380271344436);(-122.85449599586829,38.613677537761575);(-122.85457779356895,38.6135495510246);(-122.85464632331663,38.61343349577861);(-122.85471393357331,38.61328348530414);(-122.85472317815893,38.61313127955927);(-122.85473499140208,38.61296907913825);(-122.85474681531596,38.61279797171698);(-122.85482504214157,38.61270623914602);(-122.8549562232671,38.61265072861671);(-122.8550841965859,38.61260525284341);(-122.85517619224899,38.612539145381824);(-122.85514959688787,38.61245166431426);(-122.85516124690851,38.612342267394375);(-122.85530667756429,38.61222853265762);(-122.85544470821888,38.6121295717936);(-122.85556985724467,38.61202269011503);(-122.8557085965709,38.61191415223047);(-122.85583446681984,38.611806729583044);(-122.85595257336871,38.61169264201492);(-122.85607320651864,38.61162582694603);(-122.85618819127103,38.61156288465271);(-122.85637364409016,38.61147221517819);(-122.85648136009858,38.611434520211475);(-122.85657550762936,38.611382117381716);(-122.8567394578036,38.61136093464541);(-122.85688464520146,38.61133470640225);(-122.85708746950625,38.61132503530854);(-122.85728016545266,38.61129853624111);(-122.85747940133305,38.611289403772155);(-122.85768456058115,38.61126280027611);(-122.85787884551728,38.61122692936895);(-122.8580708867163,38.61120050360681);(-122.85827077642698,38.61119129517962);(-122.8584791018152,38.61118245380946);(-122.85866827286293,38.611138142963966);(-122.85887869181718,38.61111996295358);(-122.859074942057,38.61111115881504);(-122.85927768297019,38.61107572116746);(-122.85934406947331,38.6112067802931);(-122.85935537934729,38.61129691341117);(-122.85937733491032,38.61137381439134);(-122.85938950262896,38.61146818151103);(-122.85940081259984,38.61155831462085);(-122.85941203272664,38.61162953942728);(-122.85941223808328,38.61170610646854);(-122.85941183784114,38.611774848216015);(-122.85941159317463,38.61185476809975);(-122.85938857148837,38.61192571825545);(-122.85933821097893,38.61199880154505);(-122.85931593963278,38.612062644816646);(-122.85928135854556,38.61212568689482);(-122.85920257316421,38.61219095355357);(-122.85913903763321,38.61223676708038);(-122.85920280327402,38.61236511044632);(-122.8592637019604,38.612374998294044);(-122.85933440029467,38.61240278742028);(-122.85942980840062,38.61241871552313);(-122.85952949610558,38.61242736873798);(-122.85962906527595,38.61242732286131);(-122.85973243937775,38.6124274468193);(-122.8598472994916,38.6124275844433);(-122.8599506560742,38.6124367201382);(-122.86005404769853,38.6124278318824);(-122.86016890781457,38.612427969190726);(-122.8602722819197,38.61242809267182);(-122.86037565602545,38.612428216061616);(-122.86049053359669,38.612419341124344);(-122.86045616285185,38.612374240388704);(-122.86045633742404,38.61228412108826);(-122.86045649453835,38.612203013716666);(-122.8604566691091,38.612112894413585);(-122.86045682622206,38.612031787039605);(-122.86045700079131,38.61194166773387);(-122.86048012974562,38.61186058774873) l(r:p10udt3869-p10udt5039)_disconnect l(r:p10udt3869-p10udt5039)_cont;(-122.86110611490795,38.59696455736376);(-122.8610026934639,38.59700048247625);(-122.86093370520543,38.597045460392536);(-122.86070082736386,38.59744977085674);(-122.86070309348825,38.59753183273746);(-122.86069130876199,38.59761491688505);(-122.86066825284195,38.59770391548636);(-122.86065659494625,38.59779402134035);(-122.86064493702106,38.59788412719173);(-122.86063328305616,38.59796531955332);(-122.86063318095638,38.59805444395558);(-122.86062146423313,38.59814544645534);(-122.86062136610914,38.59822565736801);(-122.86063262392877,38.59829664875807);(-122.8606439690674,38.59837789261193);(-122.8606995805777,38.598446273467545);(-122.86075648501945,38.59850896322527);(-122.86084580030254,38.598561169816136);(-122.86092666514534,38.59861570495039);(-122.86102316426867,38.59865243757035);(-122.86110621801254,38.59870820747627);(-122.86118991514803,38.59876885383131);(-122.86127078048447,38.59882338872676);(-122.86135507780304,38.598875390880764);(-122.86143536080901,38.59892955779344);(-122.86152712780505,38.59898373823925);(-122.86160741106113,38.59903790503337);(-122.86169919561817,38.5990830733928);(-122.86177947911433,38.59913724006841);(-122.86187124663388,38.59919142024344);(-122.86195153038015,38.59924558680047);(-122.8620433154178,38.59929075488929);(-122.8621235994042,38.59934492132781);(-122.86221536744722,38.599399101232045);(-122.86229565168372,38.599453267552);(-122.86238741999419,38.5995074473208);(-122.86246770448082,38.59956161352218);(-122.86255947305877,38.599615793155564);(-122.86263975779552,38.59966995923837);(-122.86273150947608,38.59973315068669);(-122.86281179447296,38.599787316650875);(-122.86290356359729,38.599841496013354);(-122.86298384884428,38.59989566185894);(-122.8630756011141,38.599958853036156);(-122.86315588662124,38.600013018763114);(-122.86324765629192,38.60006719785468);(-122.86332794204917,38.60012136346307);(-122.86341969490827,38.60018455436914);(-122.86349998092564,38.60023871985889);(-122.86359175114274,38.600292898679555);(-122.86367203741023,38.60034706405072);(-122.86376379085863,38.60041025468566);(-122.86384407738626,38.600464419938184);(-122.86393584814972,38.60051859848793);(-122.86402761905127,38.60057277696544);(-122.86410788896514,38.60063595398566);(-122.8641996601456,38.60069013232769);(-122.86427994731291,38.60074429727977);(-122.86437171876085,38.60079847548634);(-122.86445198922787,38.60086165226913);(-122.86454376095475,38.600915830340234);(-122.8646240486323,38.60096999505508);(-122.86471582062666,38.60102417299074);(-122.86479610855434,38.601078337586976);(-122.8648878639201,38.601141527336196);(-122.86496815210793,38.60119569181381);(-122.86505992464869,38.601249869478515);(-122.86514021308663,38.60130403383754);(-122.86523196904172,38.60136722331558);(-122.86531225773982,38.60142138755595);(-122.86540403082697,38.6014755649497);(-122.86548431977523,38.60152972907147);(-122.8655760763196,38.60159291827834);(-122.86565636552798,38.60164708228146);(-122.86574813916155,38.60170125940427);(-122.86582842862008,38.60175542328879);(-122.86592018575378,38.601818612224484);(-122.8659775235689,38.601863737740246);(-122.86604636254518,38.601899864425285);(-122.86611518484744,38.60194500301796);(-122.86619550831972,38.601981142753054);(-122.86627584859569,38.60200827048476);(-122.86635618893226,38.6020353981613);(-122.8664709824165,38.60206256509873);(-122.86657427493509,38.60209873077876);(-122.86667758423042,38.60212588441948);(-122.86679569394776,38.60214442291709);(-122.86690035025107,38.602180986520665);(-122.8670026277988,38.60220782443769);(-122.867094853573,38.60223507644817);(-122.8671977627106,38.60226211783991);(-122.86728998862849,38.602289369696614);(-122.86739289791731,38.602316410916785);(-122.86749620783503,38.602343563834914);(-122.8675884339706,38.60237081545643);(-122.86769134348837,38.602397856414186);(-122.867794653636,38.6024250090689);(-122.86789311708407,38.60245359653408);(-122.86788328237893,38.60234099002358);(-122.86790648246338,38.60221484867471);(-122.86792983339771,38.60212376954266);(-122.86797644233906,38.60199623781921);(-122.86797576415759,38.6018840330321);(-122.86797599530179,38.601757865744936);(-122.86796472563857,38.60164069744802);(-122.86780392896608,38.601649527889386);(-122.86760869591552,38.60164930718988);(-122.8675405502811,38.601489557527366);(-122.86748350499025,38.60132864458378);(-122.86735678782216,38.6012434833707)