l(r:p10udt3104lv-p10ulv10216);(-122.82259765422899,38.565938293911394);(-122.82226450884032,38.566045932788974) l(r:p10udm10092-p10ulv10209);(-122.8225749629689,38.56583011529542);(-122.82250598071234,38.56587507081559) l(r:p10udm10092-p10udt3104lv);(-122.8225749629689,38.56583011529542) l(r:p10udm10093-p10ulv10212);(-122.82261948154758,38.56639793992584);(-122.82245873596246,38.566415720275465) l(r:p10udm10093-p10udt3104lv);(-122.82270082864694,38.565992522335925);(-122.82283826201892,38.56611889867057);(-122.82281495071314,38.56626305568252);(-122.82280311797449,38.5664072300621);(-122.82261948154758,38.56639793992584) l(r:p10udt3106lv-p10ulv10218);(-122.81966145624632,38.56500556939624);(-122.8198105859053,38.56504184712105) l(r:p10udm10098-p10ulv17441);(-122.81965024809747,38.56489740788983);(-122.81956989916296,38.5648972840738) l(r:p10udm10098-p10udt3106lv);(-122.81965024809747,38.56489740788983) l(r:p10udt3147lv-p10ulv10393);(-122.80592884511633,38.55381770690509);(-122.80590620681973,38.55370051291239) l(r:p10udm10187-p10ulv10318);(-122.8057000155903,38.55355597831409);(-122.80559667759363,38.55357383083443) l(r:p10udm10187-p10udt3147lv);(-122.805814078634,38.553817516599615);(-122.80569931215278,38.55381732618162);(-122.80569955471847,38.55372720622862);(-122.80569977302667,38.55364609826973);(-122.8057000155903,38.55355597831409) l(r:p10udm10188-p10ulv10332);(-122.80604361159975,38.553817897098064);(-122.80602094890028,38.55370971512265) l(r:p10udm10185-p10ulv10307);(-122.80615837808423,38.55381808717854);(-122.80616949176328,38.55395328611104) l(r:p10udm10185-p10ulv10317);(-122.80615837808423,38.55381808717854);(-122.80618164593417,38.553700969238626) l(r:p10udm10185-p10udm10188);(-122.80615837808423,38.55381808717854) l(r:p10udm10188-p10udt3147lv);(-122.80604361159975,38.553817897098064) l(r:p10udt3148lv-p10ulv10308);(-122.80670358624916,38.555936816619464);(-122.80673849977987,38.5557566336109) l(r:p10udt3148lv-p10ulv10322);(-122.80670358624916,38.555936816619464);(-122.80643976057623,38.555882308521) l(r:p10udt3148lv-p10ulv10365);(-122.80670358624916,38.555936816619464);(-122.80649702466664,38.555927463347544) l(r:p10udt3148lv-p10ulv10381);(-122.80670358624916,38.555936816619464);(-122.80655494092197,38.55572929434714) l(r:p10udm10194-p10ulv10340);(-122.80679508855346,38.55605412408413);(-122.80696746052327,38.55597330013878) l(r:p10udm10191-p10ulv10310);(-122.80679487145721,38.55613523201482);(-122.80698993255855,38.55615357783355) l(r:p10udm10191-p10ulv10314);(-122.80679487145721,38.55613523201482);(-122.80662274034165,38.556125935781395) l(r:p10udm10191-p10udm10194);(-122.80679487145721,38.55613523201482) l(r:p10udm10194-p10udt3148lv);(-122.80674932530049,38.55599997635715);(-122.80679508855346,38.55605412408413) l(r:p10udt3149lv-p10ulv10405);(-122.80570778107376,38.55493483253082);(-122.80558151171894,38.55494363493857) l(r:p10udm10197-p10ulv10309);(-122.80570753850787,38.55502495246522);(-122.80585673742972,38.55502519998267) l(r:p10udm10198-p10ulv10335);(-122.80583334741166,38.55518737779508);(-122.80584443644194,38.55533158871869) l(r:p10udm10197-p10udm10198);(-122.8057187970506,38.55510607945161);(-122.80571857875239,38.55518718739031);(-122.80583334741166,38.55518737779508) l(r:p10udm10197-p10udt3149lv);(-122.80570753850787,38.55502495246522) l(r:p10udm10200-p10ulv10395);(-122.8056967408897,38.55477259759786);(-122.80585746456538,38.55475484017289) l(r:p10udm10199-p10ulv10359);(-122.80569698346689,38.554682477659625);(-122.8055821913393,38.554691299117096) l(r:p10udm10199-p10udm10200);(-122.80569698346689,38.554682477659625) l(r:p10udm10200-p10udt3149lv);(-122.80570799938215,38.554853724588654);(-122.8056967408897,38.55477259759786) l(r:p10udt3150lv-p10ulv10311);(-122.80539720221662,38.55519566565136);(-122.80538538519102,38.55532181447292) l(r:p10udm10203-p10ulv10338);(-122.80528243354715,38.555195474818994);(-122.80527127272623,38.55507829982103) l(r:p10udm10205-p10ulv20406);(-122.80517914174555,38.555195302973665);(-122.80521320756783,38.55533054015667) l(r:p10udm10203-p10udm10205);(-122.80517914174555,38.555195302973665) l(r:p10udm10203-p10udt3150lv);(-122.80528243354715,38.555195474818994) l(r:p10udm10204-p10ulv10366);(-122.8055004940201,38.55519583730429);(-122.80554603733373,38.555331093458996) l(r:p10udm10204-p10udt3150lv);(-122.8055004940201,38.55519583730429) l(r:p10udt3151lv-p10ulv10447);(-122.8092633353496,38.555796822556196);(-122.80927519330453,38.555652649347586) l(r:p10udm10208-p10ulv10412);(-122.80914856569817,38.55579663551148);(-122.80915975674723,38.55590479814813) l(r:p10udm10208-p10ulv10461);(-122.80914856569817,38.55579663551148);(-122.80912599305577,38.55565240618339) l(r:p10udm10207-p10ulv10342);(-122.80904527301281,38.55579646707504);(-122.8090449630841,38.55591362299521) l(r:p10udm10206-p10ulv10312);(-122.8089304795085,38.55580529181044);(-122.80889576229795,38.555913379536214) l(r:p10udm10215-p10ulv10459);(-122.80882718681205,38.55580512318155);(-122.80885054644746,38.55565195676533) l(r:p10udm10206-p10udm10215);(-122.80882718681205,38.55580512318155) l(r:p10udm10206-p10udm10207);(-122.8089304795085,38.55580529181044) l(r:p10udm10207-p10udm10208);(-122.80904527301281,38.55579646707504) l(r:p10udm10208-p10udt3151lv);(-122.80914856569817,38.55579663551148) l(r:p10udm10210-p10ulv10435);(-122.80936662803678,38.55579699080023);(-122.80936634243025,38.555905134728654) l(r:p10udm10210-p10ulv10456);(-122.80936662803678,38.55579699080023);(-122.80938998652529,38.555643824273226) l(r:p10udm10211-p10ulv10436);(-122.80958471415246,38.55578833368858);(-122.80959590585402,38.555896496285385) l(r:p10udm10211-p10ulv10448);(-122.80958471415246,38.55578833368858);(-122.8095965952358,38.55563514845108) l(r:p10udm10209-p10ulv10417);(-122.80991754611468,38.55578887456822);(-122.80991726133361,38.55589701850025) l(r:p10udm10209-p10udm10211);(-122.8096994837936,38.55578852030569);(-122.80980277647151,38.55578868816489);(-122.80991754611468,38.55578887456822) l(r:p10udm10210-p10udm10211);(-122.80948142147635,38.55578816563699);(-122.80958471415246,38.55578833368858) l(r:p10udm10210-p10udt3151lv);(-122.80936662803678,38.55579699080023) l(r:p10udt3152lv-p10ulv10313);(-122.80708432586795,38.55518944591013);(-122.80709544148189,38.555324644728074) l(r:p10udt3152lv-p10ulv10379);(-122.80708432586795,38.55518944591013);(-122.80711906951639,38.555072346720245) l(r:p10udm10218-p10ulv10350);(-122.8069695571969,38.55518925673173);(-122.80694624193019,38.555324398787654) l(r:p10udm10218-p10ulv10396);(-122.8069695571969,38.55518925673173);(-122.80694691678914,38.55507206296227) l(r:p10udm10218-p10udt3152lv);(-122.8069695571969,38.55518925673173) l(r:p10udm10221-p10ulv10403);(-122.8071990945401,38.55518963497601);(-122.80723316414664,38.55532487158123) l(r:p10udm10221-p10udt3152lv);(-122.8071990945401,38.55518963497601) l(r:p10udt3153lv-p10ulv10326);(-122.80805820613529,38.55581287696195);(-122.80803491666637,38.55593900723182) l(r:p10udm10225-p10ulv10377);(-122.80827626850906,38.55581323428179);(-122.80826448034627,38.555930371400095) l(r:p10udm10225-p10ulv10439);(-122.80827626850906,38.55581323428179);(-122.80828810450286,38.55567807317241) l(r:p10udm10222-p10ulv10315);(-122.80849435479608,38.55580457920183);(-122.80850552095767,38.555921753891816) l(r:p10udm10222-p10ulv10438);(-122.80849435479608,38.55580457920183);(-122.80852914426903,38.55566945561293) l(r:p10udm10222-p10udm10225);(-122.80839103818103,38.55581342218173);(-122.80849435479608,38.55580457920183) l(r:p10udm10225-p10udt3153lv);(-122.8081729758052,38.55581306507566);(-122.80827626850906,38.55581323428179) l(r:p10udm10226-p10ulv10382);(-122.8077368270612,38.555821361638216);(-122.80771356103517,38.55593847984949) l(r:p10udm10224-p10ulv10337);(-122.8074154479153,38.55582984543203);(-122.80716309904903,38.55577535784172) l(r:p10udm10224-p10udm10226);(-122.80762205738102,38.5558211730969);(-122.80751874063857,38.555830015306604);(-122.8074154479153,38.55582984543203) l(r:p10udm10226-p10udt3153lv);(-122.80795488945651,38.555821719556754);(-122.8078401197743,38.555821531229206);(-122.8077368270612,38.555821361638216) l(r:p10udm10228-p10ulv10424);(-122.80805866144647,38.55564164908475);(-122.80792098613232,38.555623399213935) l(r:p10udm10227-p10ulv10414);(-122.80805890108239,38.555551529147365);(-122.80792122593998,38.555533279277) l(r:p10udm10227-p10udm10228);(-122.80805890108239,38.555551529147365) l(r:p10udm10228-p10udt3153lv);(-122.80805842180949,38.555731769020746);(-122.80805866144647,38.55564164908475) l(r:p10udt3154lv-p10ulv10316);(-122.80593331984323,38.55642219200672);(-122.80594516032791,38.55628703116004) l(r:p10udm10234-p10ulv10388);(-122.80561281153672,38.556106239150694);(-122.80542913076256,38.556123958080136) l(r:p10udm10234-p10ulv10394);(-122.80561281153672,38.556106239150694);(-122.80544080210421,38.556051881221734) l(r:p10udm10234-p10udt3154lv);(-122.80583002628221,38.556422020731105);(-122.8057152556599,38.55642183031798);(-122.80561196210076,38.556421658849956);(-122.80561218052841,38.556340550928915);(-122.80561239895519,38.55625944300676);(-122.80561259311159,38.556187347074996);(-122.80561281153672,38.556106239150694) l(r:p10udm10235-p10ulv10392);(-122.80603661340513,38.5564223631912);(-122.80604845369645,38.55628720233357) l(r:p10udm10233-p10ulv10383);(-122.80625467759421,38.55642272428136);(-122.8062320863538,38.55628750641703) l(r:p10udm10232-p10ulv10369);(-122.80636944822162,38.55642291416566);(-122.80635833380732,38.55628771530733) l(r:p10udm10232-p10udm10233);(-122.80636944822162,38.55642291416566) l(r:p10udm10233-p10udm10235);(-122.80615138403047,38.55642255328929);(-122.80625467759421,38.55642272428136) l(r:p10udm10235-p10udt3154lv);(-122.80603661340513,38.5564223631912) l(r:p10udm10237-p10ulv10319);(-122.80696171940687,38.553828426587586);(-122.80697355752038,38.55369326557074) l(r:p10udm10237-p10ulv10354);(-122.80696171940687,38.553828426587586);(-122.80696138201934,38.55395459452863) l(r:p10udm10237-p10udt3155lv);(-122.80696171940687,38.553828426587586) l(r:p10udm10239-p10ulv10371);(-122.80742037673227,38.553982386566695);(-122.80725965515266,38.55400014614518) l(r:p10udm10240-p10ulv10399);(-122.80742141052437,38.55359487073046);(-122.80724940569492,38.553540515447516) l(r:p10udm10239-p10udm10240);(-122.80740935687831,38.55381113976301);(-122.80742112202623,38.553703014687336);(-122.80742141052437,38.55359487073046) l(r:p10udm10239-p10udt3155lv);(-122.80717979984377,38.5538197739383);(-122.80729456633894,38.55381996290498);(-122.80740823883309,38.55380217061305);(-122.80742037673227,38.553982386566695) l(r:p10udt3156lv-p10ulv10375);(-122.8052879759139,38.553140740080366);(-122.80528763560942,38.55326690802378) l(r:p10udm10241-p10ulv10320);(-122.80518468705232,38.55314056824761);(-122.80523093361556,38.55301447668327) l(r:p10udm10247-p10ulv20373);(-122.80497810933178,38.553140224308706);(-122.80496631627341,38.553257361135614) l(r:p10udm10247-p10ulv20417);(-122.80497810933178,38.553140224308706);(-122.80480552261616,38.55330215333661) l(r:p10udm10241-p10udm10247);(-122.80508139819162,38.55314039632372);(-122.80497810933178,38.553140224308706) l(r:p10udm10241-p10udt3156lv);(-122.80518468705232,38.55314056824761) l(r:p10udm10244-p10ulv10370);(-122.80540274131673,38.55314093089879);(-122.80543751097939,38.553014820175136) l(r:p10udm10242-p10ulv10321);(-122.80560934331176,38.5531322620922);(-122.80560968305235,38.553006094143484) l(r:p10udm10243-p10ulv10364);(-122.80575965374675,38.55271795787918);(-122.80579379223994,38.552826158960016) l(r:p10udm10242-p10udm10243);(-122.80571263216409,38.55313243355027);(-122.80575965374675,38.55271795787918) l(r:p10udm10246-p10ulv10380);(-122.80571217132913,38.55330366147737);(-122.80559740566551,38.553303470961616) l(r:p10udm10242-p10udm10246);(-122.80571238961987,38.55322255351252);(-122.80571217132913,38.55330366147737) l(r:p10udm10242-p10udm10244);(-122.80550603018017,38.553141102539165);(-122.80560934331176,38.5531322620922) l(r:p10udm10244-p10udt3156lv);(-122.80540274131673,38.55314093089879) l(r:p10udm10249-p10ulv10323);(-122.80513505888473,38.55451932706951);(-122.80512394706086,38.554384128056775) l(r:p10udm10249-p10ulv10355);(-122.80513505888473,38.55451932706951);(-122.80512324151687,38.55464547587829) l(r:p10udm10249-p10udt3157lv);(-122.80513505888473,38.55451932706951) l(r:p10udm10252-p10ulv10385);(-122.80535311731325,38.55451968982398);(-122.80535348181884,38.554384509913) l(r:p10udm10250-p10ulv10327);(-122.8054678849088,38.55451988058425);(-122.8054331388536,38.554636979288865) l(r:p10udm10250-p10udm10252);(-122.8054678849088,38.55451988058425) l(r:p10udm10252-p10udt3157lv);(-122.80535311731325,38.55451968982398) l(r:p10udt3158lv-p10ulv10363);(-122.80656967802962,38.55451269416385);(-122.80656936409734,38.55462985009275) l(r:p10udt3158lv-p10ulv10389);(-122.80656967802962,38.55451269416385);(-122.80657004025693,38.55437751424295) l(r:p10udm10253-p10ulv10324);(-122.80634014284752,38.55451231469106);(-122.80632832758627,38.554638463627164) l(r:p10udm10253-p10ulv10331);(-122.80634014284752,38.55451231469106);(-122.80632902876714,38.55437711578664) l(r:p10udm10256-p10ulv10348);(-122.80568667110549,38.554249882888385);(-122.80559483310117,38.55425874245615) l(r:p10udm10253-p10udm10256);(-122.80623685201697,38.5545121437815);(-122.8061220844285,38.554511953775084);(-122.80601879359979,38.55451178267313);(-122.8059040017817,38.55452060444722);(-122.80578923418206,38.55452041411448);(-122.80568618593178,38.55443012277673);(-122.80568642851917,38.55434000283326);(-122.80568667110549,38.554249882888385) l(r:p10udm10253-p10udt3158lv);(-122.80645491043802,38.554512504483704);(-122.80634014284752,38.55451231469106) l(r:p10udm10255-p10ulv10343);(-122.80678773645664,38.55451305424615);(-122.80697097903261,38.554657549073895) l(r:p10udm10255-p10ulv10373);(-122.80678773645664,38.55451305424615);(-122.80679967149246,38.55434184528394) l(r:p10udm10255-p10ulv10387);(-122.80678773645664,38.55451305424615);(-122.8069602975451,38.55436013432065) l(r:p10udm10255-p10ulv10411);(-122.80678773645664,38.55451305424615);(-122.80678730227069,38.55467527014916) l(r:p10udm10255-p10udt3158lv);(-122.806672968863,38.554512864779774);(-122.80678773645664,38.55451305424615) l(r:p10udt3159lv-p10ulv20405);(-122.80467786613345,38.553824638499826);(-122.80462082436821,38.55369837482162) l(r:p10udt3159lv-p10ulv20414);(-122.80467786613345,38.553824638499826);(-122.80467752475431,38.55395080642386) l(r:p10udm10265-p10ulv20393);(-122.80479263261934,38.553824829919165);(-122.80483822247992,38.55394206238607) l(r:p10udm10265-p10ulv20413);(-122.80479263261934,38.553824829919165);(-122.80480447479258,38.55368966913225) l(r:p10udm10264-p10ulv20389);(-122.80490739910631,38.553825021226004);(-122.80491921671086,38.553698872421926) l(r:p10udm10272-p10ulv20423);(-122.80502218993556,38.55381620042548);(-122.80504480249333,38.553942406577804) l(r:p10udm10262-p10ulv10325);(-122.8051369564104,38.55381639150723);(-122.80514809250134,38.553942578536976) l(r:p10udm10262-p10ulv10402);(-122.8051369564104,38.55381639150723);(-122.80511434372998,38.553690185369646) l(r:p10udm10262-p10udm10272);(-122.8051369564104,38.55381639150723) l(r:p10udm10264-p10udm10272);(-122.80502218993556,38.55381620042548) l(r:p10udm10264-p10udm10265);(-122.80490739910631,38.553825021226004) l(r:p10udm10265-p10udt3159lv);(-122.80479263261934,38.553824829919165) l(r:p10udm10273-p10ulv20430);(-122.80456309964866,38.55382444696798);(-122.80457425913461,38.55394162205506) l(r:p10udm10267-p10ulv20408);(-122.80434504333053,38.553824082747525);(-122.80436750816817,38.554004360992955) l(r:p10udm10267-p10ulv20418);(-122.80434504333053,38.553824082747525);(-122.80427567031884,38.55401321952667) l(r:p10udm10267-p10ulv20420);(-122.80434504333053,38.553824082747525);(-122.80435698403781,38.55365287402913) l(r:p10udm10267-p10udm10273);(-122.80445980981325,38.55382427449312);(-122.80434504333053,38.553824082747525) l(r:p10udm10273-p10udt3159lv);(-122.80456309964866,38.55382444696798) l(r:p10udt3160lv-p10ulv10329);(-122.80568208604069,38.555953149610836);(-122.80559073130772,38.55578176932671) l(r:p10udt3160lv-p10ulv10334);(-122.80568208604069,38.555953149610836);(-122.80592358739197,38.55577330956883) l(r:p10udt3160lv-p10ulv10336);(-122.80568208604069,38.555953149610836);(-122.80544145795287,38.55580855744229) l(r:p10udt3160lv-p10ulv10361);(-122.80568208604069,38.555953149610836);(-122.8059230543223,38.55597157339675) l(r:p10udt3160lv-p10ulv10368);(-122.80568208604069,38.555953149610836);(-122.80584320019709,38.555791200342085) l(r:p10udt3161lv-p10ulv10391);(-122.80640719072431,38.55518832813124);(-122.80641898177397,38.55507119119262) l(r:p10udm10280-p10ulv10339);(-122.80629242205963,38.55518813828902);(-122.80633794267543,38.55533240613293) l(r:p10udm10280-p10ulv10357);(-122.80629242205963,38.55518813828902);(-122.80631566596249,38.555080032344144) l(r:p10udm10279-p10ulv10330);(-122.80617765339599,38.55518794833431);(-122.80617729042339,38.5553231282333) l(r:p10udm10279-p10ulv10347);(-122.80617765339599,38.55518794833431);(-122.80615501427498,38.55507075441502) l(r:p10udm10279-p10udm10280);(-122.80617765339599,38.55518794833431) l(r:p10udm10280-p10udt3161lv);(-122.80629242205963,38.55518813828902) l(r:p10udm10286-p10ulv10407);(-122.80652195939007,38.55518851786094);(-122.80648716639791,38.555323640855306) l(r:p10udm10281-p10ulv10341);(-122.8066252511902,38.55518868852148);(-122.80662556503806,38.55507153260339) l(r:p10udm10281-p10ulv10398);(-122.8066252511902,38.55518868852148);(-122.80664784283225,38.555323906336405) l(r:p10udm10281-p10udm10286);(-122.8066252511902,38.55518868852148) l(r:p10udm10286-p10udt3161lv);(-122.80652195939007,38.55518851786094) l(r:p10udm10287-p10ulv10344);(-122.80601879359979,38.55451178267313);(-122.80600765590287,38.55438559573428) l(r:p10udm10290-p10ulv10408);(-122.8061220844285,38.554511953775084);(-122.80609879200752,38.55463808368016) l(r:p10udm10287-p10udm10290);(-122.80612210863295,38.5545029417807) l(r:p10udm10287-p10udt3162lv);(-122.80569790525034,38.55434002188159);(-122.80569766267728,38.55443014182513);(-122.80579778100955,38.55451439955937);(-122.80590402601338,38.554511592452954);(-122.80601767571693,38.5545028135114) l(r:p10udm10288-p10ulv10345);(-122.80568713201649,38.554078654989304);(-122.80587078328706,38.554069947629976) l(r:p10udm10289-p10ulv10404);(-122.80569885127495,38.55398855408849);(-122.80558406025142,38.55399737555175) l(r:p10udm10288-p10udm10289);(-122.80569885127495,38.55398855408849) l(r:p10udm10288-p10udt3162lv);(-122.80568688943225,38.55416877493682);(-122.80568713201649,38.554078654989304) l(r:p10udm10291-p10ulv10349);(-122.8074185254735,38.55467631020912);(-122.80721203960482,38.55463992222156) l(r:p10udm10291-p10udt3163lv);(-122.8074185254735,38.55467631020912) l(r:p10udm10292-p10ulv10406);(-122.807419463132,38.55432484240054);(-122.80721278572261,38.55436055037708) l(r:p10udm10292-p10udt3163lv);(-122.807419463132,38.55432484240054) l(r:p10udm10293-p10ulv10352);(-122.80720727383432,38.55642429691244);(-122.8071847289548,38.55627105524288) l(r:p10udm10294-p10ulv15824);(-122.80771116028299,38.55683967908267);(-122.80788314932752,38.55690304566943) l(r:p10udm10293-p10udm10294);(-122.807310567407,38.55642446697289);(-122.8074024801017,38.55638857009265);(-122.80752870371026,38.5563977897135);(-122.80765490333692,38.55641602119058);(-122.80781524628766,38.55654245296671);(-122.80772302151759,38.55669550606551);(-122.80771116028299,38.55683967908267) l(r:p10udm10293-p10udt3164lv);(-122.8073001725009,38.55642828715942);(-122.80720727383432,38.55642429691244) l(r:p10udt3165lv-p10ulv10353);(-122.80546977919354,38.553816945008144);(-122.80543566501362,38.55369973185596) l(r:p10udt3165lv-p10ulv10374);(-122.80546977919354,38.553816945008144);(-122.80543498490518,38.55395206771809) l(r:p10udt3166lv-p10ulv10390);(-122.80650267754417,38.55381865674492);(-122.80646851336404,38.55371946788098) l(r:p10udm10297-p10ulv10360);(-122.806617444033,38.55381884637538);(-122.80665218776912,38.55370174729681) l(r:p10udm10297-p10ulv10362);(-122.806617444033,38.55381884637538);(-122.8066515360509,38.55394507118152) l(r:p10udm10297-p10udt3166lv);(-122.806617444033,38.55381884637538) l(r:p10udm10299-p10ulv10372);(-122.80638791105646,38.55381846700197);(-122.80642200267252,38.553944691873916) l(r:p10udm10299-p10udt3166lv);(-122.80638791105646,38.55381846700197) l(r:p10udt3167lv-p10ulv10413);(-122.80927669342508,38.55508489367954);(-122.80928852742093,38.55494973244702) l(r:p10udm10302-p10ulv10418);(-122.80939146194572,38.55508508059576);(-122.80942624938804,38.5549499567184) l(r:p10udm10302-p10ulv10444);(-122.80939146194572,38.55508508059576);(-122.80937967571603,38.55520221784592) l(r:p10udm10303-p10ulv10419);(-122.80972431441678,38.555076610021125);(-122.80971319391428,38.55494141143447) l(r:p10udm10303-p10ulv10452);(-122.80972431441678,38.555076610021125);(-122.80975843620705,38.55519382191563) l(r:p10udm10302-p10udm10303);(-122.80950625424985,38.55507625540416);(-122.8096210227583,38.5550764420953);(-122.80972431441678,38.555076610021125) l(r:p10udm10302-p10udt3167lv);(-122.80939146194572,38.55508508059576) l(r:p10udm10308-p10ulv10460);(-122.80917340175742,38.55508472535875);(-122.80918454509239,38.5552109119959) l(r:p10udm10304-p10ulv10426);(-122.8089438408686,38.55509336298126);(-122.80890974430568,38.5549671388557) l(r:p10udm10304-p10ulv10457);(-122.8089438408686,38.55509336298126);(-122.80895503150617,38.555201525649416) l(r:p10udm10304-p10udm10308);(-122.80905860940047,38.55509355022381);(-122.8089438408686,38.55509336298126) l(r:p10udm10308-p10udt3167lv);(-122.80917340175742,38.55508472535875) l(r:p10udt3168lv-p10ulv10429);(-122.81006819312952,38.55523938503417);(-122.81028632485192,38.55521270261925) l(r:p10udm10311-p10ulv10425);(-122.81006843025318,38.55514926508002);(-122.81034380392896,38.55517674761457) l(r:p10udm10312-p10ulv10428);(-122.81005716681368,38.55506813849991);(-122.8101724329963,38.55487907273893) l(r:p10udm10315-p10ulv10432);(-122.8099538751653,38.5550679708678);(-122.80996577906538,38.554905773575825) l(r:p10udm10312-p10udm10315);(-122.8099538751653,38.5550679708678) l(r:p10udm10311-p10udm10312);(-122.81005716681368,38.55506813849991) l(r:p10udm10311-p10udt3168lv);(-122.81006843025318,38.55514926508002) l(r:p10udm10316-p10ulv10453);(-122.81006797971736,38.55532049299171);(-122.8099646639824,38.555329337363375) l(r:p10udm10309-p10ulv10415);(-122.81006774259168,38.55541061294323);(-122.81027442161849,38.55537489993703) l(r:p10udm10310-p10ulv10422);(-122.81007900609491,38.555491739517706);(-122.80997571384026,38.55549157190331) l(r:p10udm10314-p10ulv10430);(-122.81007876898155,38.55558185946662);(-122.81027392419365,38.55556415183408) l(r:p10udm10310-p10udm10314);(-122.81007876898155,38.55558185946662) l(r:p10udm10309-p10udm10310);(-122.81007900609491,38.555491739517706) l(r:p10udm10309-p10udm10316);(-122.81006774259168,38.55541061294323) l(r:p10udm10316-p10udt3168lv);(-122.81006797971736,38.55532049299171) l(r:p10udt3169lv-p10ulv10416);(-122.80805983565223,38.555200061378265);(-122.80783032225605,38.55519067282728) l(r:p10udm10318-p10ulv10427);(-122.80827813553321,38.55511029874497);(-122.80826699379789,38.55498411202351) l(r:p10udm10318-p10ulv10450);(-122.80827813553321,38.55511029874497);(-122.80827782436702,38.55522745467365) l(r:p10udm10318-p10udt3169lv);(-122.80806005131988,38.55511895342855);(-122.80816336697994,38.55511011073724);(-122.80827813553321,38.55511029874497) l(r:p10udm10319-p10ulv10433);(-122.80805959602051,38.555290181321084);(-122.80792187341527,38.555289955440315) l(r:p10udm10321-p10ulv10458);(-122.8080593563877,38.555380301262495);(-122.80823153380315,38.555371571392364) l(r:p10udm10319-p10udm10321);(-122.8080593563877,38.555380301262495) l(r:p10udm10319-p10udt3169lv);(-122.80805959602051,38.555290181321084) l(r:p10udt3170lv-p10ulv10455);(-122.80861098823794,38.5551018313364);(-122.80863363135789,38.555219024790475) l(r:p10udm10322-p10ulv10421);(-122.80850769655007,38.55510166242821);(-122.80848507758056,38.55497545695313) l(r:p10udm10322-p10udt3170lv);(-122.80850769655007,38.55510166242821) l(r:p10udm10323-p10ulv10431);(-122.80872575678107,38.5551020189053);(-122.80868018376147,38.554975775962276) l(r:p10udm10323-p10ulv10449);(-122.80872575678107,38.5551020189053);(-122.8087369470931,38.5552101815933) l(r:p10udm10323-p10udt3170lv);(-122.80872575678107,38.5551020189053) l(r:p10udt3171lv-p10ulv10497);(-122.80793558029856,38.55445185875416);(-122.80785529102161,38.55443370293656) l(r:p10udm10331-p10ulv10501);(-122.80793593996724,38.554316678821);(-122.80786707959359,38.55431656583052) l(r:p10udm10328-p10ulv10491);(-122.80793613178955,38.55424458285536);(-122.80785584274275,38.554226427038074) l(r:p10udm10328-p10ulv10515);(-122.80793613178955,38.55424458285536);(-122.80802794552999,38.55424473344593) l(r:p10udm10329-p10ulv10495);(-122.80793651543209,38.554100390921406);(-122.80786765526477,38.55410027793181) l(r:p10udm10335-p10ulv10523);(-122.80792542240025,38.55395618015519);(-122.80785649040818,38.55398310314785) l(r:p10udm10329-p10udm10335);(-122.80793670725234,38.5540282949531);(-122.80792542240025,38.55395618015519) l(r:p10udm10328-p10udm10329);(-122.80793632361116,38.55417248688884);(-122.80793651543209,38.554100390921406) l(r:p10udm10328-p10udm10331);(-122.80793613178955,38.55424458285536) l(r:p10udm10331-p10udt3171lv);(-122.80793574814425,38.55438877478574);(-122.80793593996724,38.554316678821) l(r:p10udm10332-p10ulv10504);(-122.80793536449622,38.554532966712564);(-122.8078549791767,38.554550858875906) l(r:p10udm10337-p10ulv10531);(-122.80793517267116,38.55460506267463);(-122.80802689100315,38.554641261248044) l(r:p10udm10326-p10ulv10423);(-122.80793495686717,38.55468617063091);(-122.80783130590946,38.554821181051565) l(r:p10udm10326-p10ulv10434);(-122.80793495686717,38.55468617063091);(-122.80803791244075,38.554812507973395) l(r:p10udm10326-p10ulv10524);(-122.80793495686717,38.55468617063091);(-122.80785466732998,38.55466801481292) l(r:p10udm10326-p10udm10337);(-122.80793495686717,38.55468617063091) l(r:p10udm10332-p10udm10337);(-122.80793517267116,38.55460506267463) l(r:p10udm10332-p10udt3171lv);(-122.80793536449622,38.554532966712564) l(r:p10udm10333-p10ulv10512);(-122.80803887105318,38.55445202816476);(-122.80802720257005,38.554524105309326) l(r:p10udm10333-p10udt3171lv);(-122.80803887105318,38.55445202816476) l(r:p10udt3172lv-p10ulv10484);(-122.80890036695703,38.554174064494646);(-122.80892315338959,38.55423718593431) l(r:p10udm10342-p10ulv10479);(-122.80901513402704,38.55417425177607);(-122.80903830200177,38.55409318125024) l(r:p10udm10342-p10ulv10505);(-122.80901513402704,38.55417425177607);(-122.8090493972772,38.554237391913404) l(r:p10udm10343-p10ulv10483);(-122.80912987726946,38.55418345094147);(-122.80914121101446,38.55423754163088) l(r:p10udm10343-p10ulv10516);(-122.80912987726946,38.55418345094147);(-122.80914159224982,38.55409334968661) l(r:p10udm10341-p10ulv10477);(-122.80924464435591,38.55418363799796);(-122.80927931258191,38.55409357412666) l(r:p10udm10341-p10ulv10506);(-122.80924464435591,38.55418363799796);(-122.80924450146972,38.55423770997697) l(r:p10udm10341-p10udm10343);(-122.80924464435591,38.55418363799796) l(r:p10udm10342-p10udm10343);(-122.80912987726946,38.55418345094147) l(r:p10udm10342-p10udt3172lv);(-122.80901513402704,38.55417425177607) l(r:p10udm10353-p10ulv10535);(-122.80878559988811,38.5541738771007);(-122.80880874425162,38.55410181861738) l(r:p10udm10352-p10ulv10529);(-122.80867066561822,38.5542367735677);(-122.80875100263583,38.554236904834326) l(r:p10udm10348-p10ulv10511);(-122.80867107167933,38.55408356963097);(-122.80860218763063,38.554092469069815) l(r:p10udm10345-p10ulv10486);(-122.80867128665159,38.55400246166283);(-122.80880905454363,38.55398466266249) l(r:p10udm10345-p10udm10348);(-122.80867128665159,38.55400246166283) l(r:p10udm10348-p10udm10352);(-122.8086708567062,38.55416467759799);(-122.80867107167933,38.55408356963097) l(r:p10udm10350-p10ulv10517);(-122.80867030732647,38.554371953508536);(-122.80861292363532,38.55437185971247) l(r:p10udm10339-p10ulv10440);(-122.8085668254895,38.554443880622095);(-122.80854360906717,38.554542975039354) l(r:p10udm10351-p10ulv10520);(-122.80834874332224,38.554452535849734);(-122.80838298219759,38.554524688173494) l(r:p10udm10339-p10udm10351);(-122.80846353474335,38.55444371167733);(-122.80834874332224,38.554452535849734) l(r:p10udm10339-p10udm10350);(-122.80867011623653,38.554444049475705);(-122.8085668254895,38.554443880622095) l(r:p10udm10340-p10ulv10441);(-122.8087619302346,38.554444199491314);(-122.80875023859811,38.554525288705676) l(r:p10udm10338-p10ulv10437);(-122.80896851173276,38.55444453676314);(-122.80895682032816,38.55452562599873) l(r:p10udm10338-p10udm10340);(-122.80886522098325,38.554444368172796);(-122.80896851173276,38.55444453676314) l(r:p10udm10340-p10udm10350);(-122.80868159298625,38.554444068231604);(-122.8087619302346,38.554444199491314) l(r:p10udm10350-p10udm10352);(-122.80867047452958,38.554308869536534);(-122.80867030732647,38.554371953508536) l(r:p10udm10352-p10udm10353);(-122.80866969096377,38.5541736430031);(-122.80867066561822,38.5542367735677) l(r:p10udm10353-p10udt3172lv);(-122.80878559988811,38.5541738771007) l(r:p10udm10355-p10ulv10443);(-122.80954237302318,38.554436459720414);(-122.80965676030443,38.554580838313676) l(r:p10udm10355-p10ulv10454);(-122.80954237302318,38.554436459720414);(-122.80953065848541,38.554526561011265) l(r:p10udm10355-p10udt3173lv);(-122.80954237302318,38.554436459720414) l(r:p10udm10356-p10ulv10446);(-122.80935874503903,38.554436160841384);(-122.8093699837987,38.554526299491265) l(r:p10udm10354-p10ulv10442);(-122.80926693104799,38.55443601129387);(-122.8092551923533,38.55453512455228) l(r:p10udm10354-p10udm10356);(-122.80926693104799,38.55443601129387) l(r:p10udm10358-p10ulv10481);(-122.80935895924138,38.5543550528749);(-122.80933598196624,38.55436402749118) l(r:p10udm10359-p10ulv10500);(-122.80935938764344,38.55419283693854);(-122.80939410332209,38.554084749039504) l(r:p10udm10358-p10udm10359);(-122.80935917344283,38.55427394490728);(-122.80935938764344,38.55419283693854) l(r:p10udm10356-p10udm10358);(-122.80935895924138,38.5543550528749) l(r:p10udm10356-p10udt3173lv);(-122.80935874503903,38.554436160841384) l(r:p10udt3174lv-p10ulv10503);(-122.80923774007859,38.55245331570547);(-122.80927197890371,38.55252546779377) l(r:p10udt3174lv-p10ulv10741);(-122.80923774007859,38.55245331570547);(-122.80919202489953,38.552381144902526) l(r:p10udm10360-p10ulv10462);(-122.80913445217651,38.552453147359934);(-122.80914576183348,38.55251625006329) l(r:p10udm10361-p10ulv10472);(-122.8090196878419,38.552452960202466);(-122.80904247384191,38.552516081636384) l(r:p10udm10361-p10ulv10859);(-122.8090196878419,38.552452960202466);(-122.80904283141302,38.552380901649634) l(r:p10udm10363-p10ulv10493);(-122.80868644139096,38.55261463278799);(-122.80880118211198,38.552623832271514) l(r:p10udm10361-p10udm10363);(-122.80891639994165,38.552452791664564);(-122.80880163560906,38.55245260429335);(-122.80869832382956,38.55246144756199);(-122.80868665633467,38.55253352479939);(-122.80868644139096,38.55261463278799) l(r:p10udm10360-p10udm10361);(-122.8090196878419,38.552452960202466) l(r:p10udm10360-p10udt3174lv);(-122.80913445217651,38.552453147359934) l(r:p10udm10369-p10ulv10818);(-122.80934102798152,38.55245348395987);(-122.80929531269894,38.552381313197) l(r:p10udm10362-p10ulv10480);(-122.80945579231913,38.55245367080233);(-122.80949003136263,38.55252582282743) l(r:p10udm10362-p10ulv10794);(-122.80945579231913,38.55245367080233);(-122.80942155334381,38.55238151876649) l(r:p10udm10366-p10ulv10533);(-122.80955910399747,38.552444826864985);(-122.8095588187135,38.55255297085669) l(r:p10udm10365-p10ulv10508);(-122.80967386832278,38.55244501349364);(-122.80973096545974,38.552553250758564) l(r:p10udm10365-p10udm10366);(-122.80967386832278,38.55244501349364) l(r:p10udm10362-p10udm10366);(-122.80955910399747,38.552444826864985) l(r:p10udm10362-p10udm10369);(-122.80945579231913,38.55245367080233) l(r:p10udm10369-p10udt3174lv);(-122.80934102798152,38.55245348395987) l(r:p10udt3175lv-p10ulv10532);(-122.80933952850806,38.55302123988595);(-122.80933974272115,38.55294013189988) l(r:p10udm10371-p10ulv10463);(-122.80912147455467,38.55302088456815);(-122.80908723564724,38.552948732441706) l(r:p10udm10371-p10ulv10464);(-122.80912147455467,38.55302088456815);(-122.80915566588122,38.553111060680166) l(r:p10udm10371-p10ulv10474);(-122.80912147455467,38.55302088456815);(-122.80916757123337,38.55294886341881) l(r:p10udm10376-p10ulv10489);(-122.80901818584121,38.55302071611796);(-122.80901797126624,38.553101824101375) l(r:p10udm10371-p10udm10376);(-122.80901818584121,38.55302071611796) l(r:p10udm10371-p10udt3175lv);(-122.80923623979281,38.55302107162814);(-122.80912147455467,38.55302088456815) l(r:p10udm10374-p10ulv10467);(-122.80945429374822,38.55302142673219);(-122.80947703273718,38.55310257207351) l(r:p10udm10374-p10ulv10522);(-122.80945429374822,38.55302142673219);(-122.80943155481003,38.55294028138544) l(r:p10udm10379-p10ulv10528);(-122.80956903521663,38.55303062546442);(-122.80955777265919,38.55294949880915) l(r:p10udm10377-p10ulv10490);(-122.80967232394752,38.55303079342866);(-122.80969549081242,38.55294972275406) l(r:p10udm10373-p10ulv10466);(-122.80978708920512,38.55303097994869);(-122.80972951655345,38.55310298269091) l(r:p10udm10373-p10udm10377);(-122.80978708920512,38.55303097994869) l(r:p10udm10377-p10udm10379);(-122.80967232394752,38.55303079342866) l(r:p10udm10374-p10udm10379);(-122.80956903521663,38.55303062546442) l(r:p10udm10374-p10udt3175lv);(-122.80945429374822,38.55302142673219) l(r:p10udm10381-p10ulv10465);(-122.80868493676071,38.55318238867672);(-122.80881110719912,38.553209630901485) l(r:p10udm10381-p10ulv10733);(-122.80868493676071,38.55318238867672);(-122.80859302878855,38.55321828659572) l(r:p10udm10386-p10ulv10721);(-122.80868448297502,38.553353616632315);(-122.80859262257437,38.55337149055447) l(r:p10udm10384-p10ulv10485);(-122.80867279143331,38.55343470585432);(-122.80879908165433,38.553416888104834) l(r:p10udm10384-p10ulv10854);(-122.80867279143331,38.55343470585432);(-122.80859235972827,38.55347062252563) l(r:p10udm10384-p10udm10386);(-122.80867279143331,38.55343470585432) l(r:p10udm10381-p10udm10386);(-122.80868469792662,38.55327250865398);(-122.80868448297502,38.553353616632315) l(r:p10udm10381-p10udt3176lv);(-122.80868493676071,38.55318238867672) l(r:p10udm10390-p10ulv10810);(-122.80858207794961,38.55302000387922);(-122.80859341110494,38.55307409463088) l(r:p10udm10385-p10ulv10713);(-122.80868558160743,38.55293906473118);(-122.80861667476148,38.55295697618124) l(r:p10udm10382-p10ulv10469);(-122.80880013189349,38.55302036020165);(-122.80881177548854,38.552957294957096) l(r:p10udm10382-p10udm10385);(-122.80868536665939,38.55302017271415);(-122.80880013189349,38.55302036020165) l(r:p10udm10383-p10ulv10482);(-122.80868579655461,38.552857956747076);(-122.8088006092681,38.55284012023675) l(r:p10udm10383-p10ulv10755);(-122.80868579655461,38.552857956747076);(-122.8086169853525,38.552839820204674) l(r:p10udm10391-p10ulv10830);(-122.80868644139096,38.55261463278799);(-122.80861753485526,38.55263254424037) l(r:p10udm10383-p10udm10391);(-122.80868601150092,38.55277684876184);(-122.80868622644637,38.552695740775484);(-122.80867496493207,38.552614614033324) l(r:p10udm10383-p10udm10385);(-122.80868579655461,38.552857956747076) l(r:p10udm10385-p10udm10390);(-122.80868539054256,38.553011160716096);(-122.80868558160743,38.55293906473118) l(r:p10udm10389-p10ulv10809);(-122.80837550053265,38.55301966593595);(-122.80837530915753,38.55309176191857) l(r:p10udm10389-p10ulv10858);(-122.80837550053265,38.55301966593595);(-122.80837571582882,38.552938557954434) l(r:p10udm10389-p10udm10390);(-122.80847878924071,38.553019834953155);(-122.80837550053265,38.55301966593595) l(r:p10udm10390-p10udt3176lv);(-122.80866241361271,38.55302013520315);(-122.80858207794961,38.55302000387922) l(r:p10udt3177lv-p10ulv10496);(-122.80825767138474,38.55417301363948);(-122.80822307367261,38.554236041215916) l(r:p10udm10394-p10ulv10468);(-122.80836098566695,38.554164170768765);(-122.8084067011355,38.55423634187493) l(r:p10udm10396-p10ulv10507);(-122.8084642760125,38.554164339802966);(-122.80847592008953,38.554101274605394) l(r:p10udm10394-p10udm10396);(-122.8084642760125,38.554164339802966) l(r:p10udm10394-p10udt3177lv);(-122.80836098566695,38.554164170768765) l(r:p10udm10397-p10ulv10521);(-122.80815438102803,38.554172844422965);(-122.80810828255342,38.55424486515364) l(r:p10udm10397-p10ulv10525);(-122.80815438102803,38.554172844422965);(-122.80815457263118,38.55410074845462) l(r:p10udm10399-p10ulv10534);(-122.80803961396603,38.55417265629774);(-122.80802832898912,38.55410054151122) l(r:p10udm10397-p10udm10399);(-122.80803961396603,38.55417265629774) l(r:p10udm10397-p10udt3177lv);(-122.80815438102803,38.554172844422965) l(r:p10udt3178lv-p10ulv10494);(-122.80878712765093,38.553597109307844);(-122.80879877135244,38.55353404407108) l(r:p10udm10408-p10ulv10513);(-122.80899370672526,38.55359744652901);(-122.80901646921723,38.55366957995371) l(r:p10udm10408-p10ulv10530);(-122.80899370672526,38.55359744652901);(-122.80903978006248,38.55353443743582) l(r:p10udm10409-p10ulv10519);(-122.8092117624186,38.553597802089236);(-122.80916564154305,38.553678835244305) l(r:p10udm10400-p10ulv10471);(-122.80931502815385,38.55360698236823);(-122.80931521859397,38.553534886388015) l(r:p10udm10400-p10ulv10473);(-122.80931502815385,38.55360698236823);(-122.80932631434158,38.55367909703992) l(r:p10udm10410-p10ulv10526);(-122.80941831770788,38.55360715055865);(-122.80941850804484,38.553535054578006) l(r:p10udm10402-p10ulv10475);(-122.80952160726278,38.55360731865796);(-122.80955625108894,38.553526266691875) l(r:p10udm10402-p10udm10410);(-122.80952160726278,38.55360731865796) l(r:p10udm10400-p10udm10410);(-122.80941831770788,38.55360715055865) l(r:p10udm10400-p10udm10409);(-122.80931502815385,38.55360698236823) l(r:p10udm10408-p10udm10409);(-122.80909699626372,38.55359761500291);(-122.8092117624186,38.553597802089236) l(r:p10udm10408-p10udt3178lv);(-122.80889041718767,38.553597277963995);(-122.80899370672526,38.55359744652901) l(r:p10udm10411-p10ulv10527);(-122.80867214653206,38.55367802977902);(-122.80860331065861,38.553668905226125) l(r:p10udm10404-p10ulv10488);(-122.80867193156323,38.55375913775167);(-122.8086030239303,38.55377704918915) l(r:p10udm10404-p10ulv10536);(-122.80867193156323,38.55375913775167);(-122.80880960351736,38.553777386736485) l(r:p10udm10404-p10udm10411);(-122.80867193156323,38.55375913775167) l(r:p10udm10415-p10ulv10781);(-122.80844282920135,38.553596546462536);(-122.80843151999385,38.55353344370409) l(r:p10udm10403-p10ulv10478);(-122.808213296907,38.553596170669806);(-122.80820160479578,38.55367725983991) l(r:p10udm10403-p10ulv10492);(-122.808213296907,38.553596170669806);(-122.80824753524423,38.55366832304237) l(r:p10udm10403-p10ulv10722);(-122.808213296907,38.553596170669806);(-122.80824796613327,38.55350610709745) l(r:p10udm10407-p10ulv10509);(-122.80809853076143,38.55359598260469);(-122.80808683852136,38.553677071763) l(r:p10udm10403-p10udm10407);(-122.80809853076143,38.55359598260469) l(r:p10udm10403-p10udm10415);(-122.80832806305365,38.55359635862243);(-122.808213296907,38.553596170669806) l(r:p10udm10411-p10udm10415);(-122.8086723615,38.55359692180525);(-122.80855759535015,38.553596734190144);(-122.80844282920135,38.553596546462536) l(r:p10udm10411-p10udt3178lv);(-122.80867233761472,38.55360593380239);(-122.80867214653206,38.55367802977902) l(r:p10udm10416-p10ulv10502);(-122.80776570894533,38.55359543657963);(-122.80779994683428,38.55366758908193) l(r:p10udm10416-p10ulv10808);(-122.80776570894533,38.55359543657963);(-122.80777749752004,38.55347829946583) l(r:p10udm10418-p10ulv10751);(-122.80765094280393,38.55359524807573);(-122.80763975435976,38.55348708525889) l(r:p10udm10416-p10udm10418);(-122.80765094280393,38.55359524807573) l(r:p10udm10416-p10udt3179lv);(-122.80776570894533,38.55359543657963) l(r:p10udm10417-p10ulv10725);(-122.80809853076143,38.55359598260469);(-122.80807588900869,38.55347878901812) l(r:p10udm10417-p10udt3179lv);(-122.80798376461694,38.55359579442706);(-122.80809855471833,38.553586970607824) l(r:p10udt3180lv-p10ulv10836);(-122.80868730116063,38.55229020082677);(-122.80880213683817,38.55226335231163) l(r:p10udt3180lv-p10ulv10837);(-122.80868730116063,38.55229020082677);(-122.80859541822115,38.55231708675697) l(r:p10udm10423-p10ulv10765);(-122.80858358338006,38.552452247978096);(-122.8085952031766,38.55239819474816) l(r:p10udm10420-p10ulv10514);(-122.80880163560906,38.55245260429335);(-122.80881296884166,38.552506695029216) l(r:p10udm10420-p10udm10423);(-122.80869948953988,38.55245248215388);(-122.80880039197133,38.55244364534434) l(r:p10udm10423-p10udt3180lv);(-122.8086870862195,38.55237130881877);(-122.80858358338006,38.552452247978096) l(r:p10udm10426-p10ulv10844);(-122.80868751610089,38.55220909283363);(-122.80858415686622,38.55223596000125) l(r:p10udm10421-p10ulv10719);(-122.80867646961018,38.55204685808971);(-122.80859606334964,38.55207376277664) l(r:p10udm10421-p10ulv10726);(-122.80867646961018,38.55204685808971);(-122.80881416216815,38.55205609506653) l(r:p10udm10421-p10udm10426);(-122.80868773104028,38.55212798483938);(-122.80867646961018,38.55204685808971) l(r:p10udm10426-p10udt3180lv);(-122.80868751610089,38.55220909283363) l(r:p10udt3222lv-p10ulv10619);(-122.83843726889539,38.55608404406255);(-122.83885050229964,38.55605757750957) l(r:p10udm10516-p10ulv10613);(-122.83843708735382,38.55616515213186);(-122.83859776593951,38.55616537376669) l(r:p10udm10515-p10ulv10611);(-122.838275762641,38.55645331451151);(-122.83827537899758,38.5566245426443) l(r:p10udm10515-p10udm10516);(-122.83842540858406,38.55625525636799);(-122.83842520685513,38.55634537644223);(-122.83834474626444,38.55639933757719);(-122.838275762641,38.55645331451151) l(r:p10udm10516-p10udt3222lv);(-122.83843708735382,38.55616515213186) l(r:p10udm10518-p10ulv10615);(-122.83844914931866,38.55590381974273);(-122.838472022652,38.555939899447914) l(r:p10udm10514-p10ulv10609);(-122.8384493510145,38.555813699661556);(-122.83930998454733,38.55587796837765) l(r:p10udm10514-p10ulv10614);(-122.8384493510145,38.555813699661556);(-122.83839202661521,38.555786584434884) l(r:p10udm10514-p10udm10518);(-122.8384493510145,38.555813699661556) l(r:p10udm10518-p10udt3222lv);(-122.83843747060736,38.55599392398421);(-122.83844914931866,38.55590381974273) l(r:p10udm10522-p10ulv10617);(-122.83849701317531,38.55502971823637);(-122.83868084435738,38.55493985131939) l(r:p10udm10521-p10ulv10612);(-122.83848515318776,38.55520093057947);(-122.83895566541159,38.55521960284204) l(r:p10udm10521-p10udm10522);(-122.83848533466904,38.555119822496565);(-122.83848515318776,38.55520093057947) l(r:p10udm10522-p10udt3224lv);(-122.83849701317531,38.55502971823637) l(r:p10udm10523-p10ulv20017);(-122.83819899858888,38.55485807802772);(-122.83784414798336,38.55444303332376) l(r:p10udm10523-p10udt3224lv);(-122.8383135045116,38.55497539271336);(-122.83822175028367,38.554948229844044);(-122.83821037442921,38.55490315393659);(-122.83819899858888,38.55485807802772) l(r:p10udt3225lv-p10ulv10616);(-122.83819899858888,38.55485807802772);(-122.83823357046077,38.55479504154085) l(r:p10udt3225lv-p10ulv19865);(-122.83819899858888,38.55485807802772);(-122.83809574751088,38.554839911206685) l(r:p10udt3225lv-p10ulv19884);(-122.83819899858888,38.55485807802772);(-122.83763651212068,38.55491137150311) l(r:p10udm10527-p10ulv19920)_s0 l(r:p10udm10527-p10ulv19920)_s1 l(r:p10udm10527-p10ulv19920)_s2 l(r:p10udm10527-p10ulv20065);(-122.83701873635552,38.55403634541271);(-122.83723622475131,38.554288985062705) l(r:p10udm10527-p10udt3225lv)_s0 l(r:p10udm10527-p10udt3225lv)_s1 l(r:p10udm10527-p10udt3225lv)_s2 l(r:p10udm10630-p10ulv10735);(-122.81361233799103,38.5561012244819);(-122.81349756782684,38.556101041699506) l(r:p10udm10630-p10ulv10831);(-122.81361233799103,38.5561012244819);(-122.81379601675131,38.55608349270778) l(r:p10udm10638-p10ulv10793);(-122.81362404769926,38.556011122794686);(-122.81347493982311,38.55597483718722) l(r:p10udm10632-p10ulv10760);(-122.81362428038996,38.55592100283403);(-122.81380786570422,38.555939319024404) l(r:p10udm10629-p10ulv10711);(-122.81362451307965,38.555830882872);(-122.81383114509042,38.55581318758058) l(r:p10udm10629-p10ulv10787);(-122.81362451307965,38.555830882872);(-122.81347533571265,38.55582163325364) l(r:p10udm10631-p10ulv10736);(-122.81363622272744,38.55574078117924);(-122.8134297306366,38.555704404149274) l(r:p10udm10629-p10udm10631);(-122.81363622272744,38.55574078117924) l(r:p10udm10629-p10udm10632);(-122.81362451307965,38.555830882872) l(r:p10udm10632-p10udm10638);(-122.81362428038996,38.55592100283403) l(r:p10udm10630-p10udm10638);(-122.81362404769926,38.556011122794686) l(r:p10udm10630-p10udt3257lv);(-122.81361233799103,38.5561012244819) l(r:p10udm10635-p10ulv10763);(-122.81360039553063,38.556281446122824);(-122.81379559829077,38.556245708633035) l(r:p10udm10635-p10ulv10855);(-122.81360039553063,38.556281446122824);(-122.8134857182264,38.55624521534586) l(r:p10udm10637-p10ulv10790);(-122.81360018607948,38.556362554082355);(-122.8134739151538,38.55637136499666) l(r:p10udm10633-p10ulv10761);(-122.81358824354284,38.55654277571381);(-122.81347351925348,38.55652456891582) l(r:p10udm10634-p10ulv10762);(-122.81374862045455,38.55666018739258);(-122.81378344701619,38.556507038247666) l(r:p10udm10633-p10udm10634);(-122.8136683039809,38.55665104755367);(-122.81374862045455,38.55666018739258) l(r:p10udm10641-p10ulv16037);(-122.81342733138912,38.55663263970146);(-122.81341545826099,38.55678582532173) l(r:p10udm10633-p10udm10641);(-122.81350767109528,38.55663276771063);(-122.81342733138912,38.55663263970146) l(r:p10udm10633-p10udm10637);(-122.81359995335501,38.55645267403608);(-122.81358824354284,38.55654277571381) l(r:p10udm10635-p10udm10637);(-122.81360018607948,38.556362554082355) l(r:p10udm10635-p10udt3257lv);(-122.81360039553063,38.556281446122824) l(r:p10udm10642-p10ulv10712);(-122.81429089631477,38.55555256881399);(-122.81431415154732,38.55543544926058) l(r:p10udm10642-p10ulv10772);(-122.81429089631477,38.55555256881399);(-122.8142791411413,38.55566069457102) l(r:p10udm10645-p10ulv10850);(-122.8141875807497,38.55556141691253);(-122.8141879055262,38.55543524895347) l(r:p10udm10642-p10udm10645);(-122.8141875807497,38.55556141691253) l(r:p10udm10642-p10udt3258lv);(-122.81429089631477,38.55555256881399) l(r:p10udm10646-p10ulv10862);(-122.81452043491035,38.55555293270645);(-122.81453223601478,38.555426782927555) l(r:p10udm10644-p10ulv10779);(-122.81462372727971,38.555553096311236);(-122.81456671304622,38.5554088134742) l(r:p10udm10644-p10udm10646);(-122.81462372727971,38.555553096311236) l(r:p10udm10646-p10udt3258lv);(-122.81452043491035,38.55555293270645) l(r:p10udm10649-p10ulv10716);(-122.80866585309019,38.55172240734193);(-122.80881511681011,38.55169561507133) l(r:p10udm10652-p10ulv10758);(-122.80867711445926,38.55180353409696);(-122.80860825647981,38.551803421548584) l(r:p10udm10658-p10ulv10843);(-122.8085621599802,38.55187544249226);(-122.80859642175098,38.55193858278318) l(r:p10udm10655-p10ulv10828);(-122.80834410949753,38.55187508573547);(-122.80836722963292,38.55181203931008) l(r:p10udm10651-p10ulv10737);(-122.80824082242815,38.55187491660366);(-122.80826355969637,38.551956062192154) l(r:p10udm10651-p10ulv10833);(-122.80824082242815,38.55187491660366);(-122.80827541898456,38.55181188899353) l(r:p10udm10657-p10ulv10834);(-122.80812605901872,38.55187472857256);(-122.80810289074267,38.55195579894783) l(r:p10udm10653-p10ulv10786);(-122.80802277195114,38.55187455924838);(-122.8080230115996,38.55178443925303) l(r:p10udm10653-p10udm10657);(-122.80802277195114,38.55187455924838) l(r:p10udm10651-p10udm10657);(-122.80812605901872,38.55187472857256) l(r:p10udm10651-p10udm10655);(-122.80824082242815,38.55187491660366) l(r:p10udm10655-p10udm10658);(-122.80845887290899,38.55187527355282);(-122.80834410949753,38.55187508573547) l(r:p10udm10652-p10udm10658);(-122.80867692339368,38.55187563009587);(-122.8085621599802,38.55187544249226) l(r:p10udm10649-p10udm10652);(-122.80867711445926,38.55180353409696) l(r:p10udm10649-p10udt3259lv);(-122.80866585309019,38.55172240734193) l(r:p10udm10654-p10ulv10813);(-122.80866625912499,38.55156920333926);(-122.80860880598725,38.551596145547585) l(r:p10udm10650-p10ulv10724);(-122.80865521277396,38.55140696857593);(-122.80858640296108,38.55138883201465) l(r:p10udm10650-p10ulv10852);(-122.80865521277396,38.55140696857593);(-122.80878145171035,38.551407174831276) l(r:p10udm10647-p10ulv10714);(-122.8084948319051,38.55129856186604);(-122.80832244889291,38.55138840015864) l(r:p10udm10647-p10ulv10715);(-122.8084948319051,38.55129856186604);(-122.80842573526674,38.55138856921665) l(r:p10udm10647-p10udm10650);(-122.80862099898155,38.55132580429577);(-122.80855216535632,38.55131667971496);(-122.8084948319051,38.55129856186604) l(r:p10udm10650-p10udm10654);(-122.80866647408334,38.55148809533622);(-122.80865521277396,38.55140696857593) l(r:p10udm10654-p10udt3259lv);(-122.80866625912499,38.55156920333926) l(r:p10udt3260lv-p10ulv10717);(-122.81477031414455,38.55657168807321);(-122.81472468336227,38.55646347147779) l(r:p10udt3260lv-p10ulv10747);(-122.81477031414455,38.55657168807321);(-122.81465575149161,38.5564903984627) l(r:p10udm10664-p10ulv16097);(-122.8148507462547,38.55653576717745);(-122.81490778504693,38.55667103785635) l(r:p10udm10663-p10ulv10797);(-122.81493117828495,38.55649984622601);(-122.81487407024274,38.55639161154298) l(r:p10udm10663-p10udm10664);(-122.81493117828495,38.55649984622601) l(r:p10udm10664-p10udt3260lv);(-122.8148507462547,38.55653576717745) l(r:p10udm10665-p10ulv16350);(-122.81464381156765,38.556670620204436);(-122.81478130560842,38.55676095813902) l(r:p10udm10661-p10ulv10743);(-122.81457478686487,38.556733595121784);(-122.81446020101143,38.55666131731749) l(r:p10udm10661-p10udm10665);(-122.81457478686487,38.556733595121784) l(r:p10udm10665-p10udt3260lv);(-122.81471281301621,38.556616657241015);(-122.81464381156765,38.556670620204436) l(r:p10udt3261lv-p10ulv10838);(-122.815358319976,38.55552722110192);(-122.81530130429297,38.55538293861837) l(r:p10udm10666-p10ulv10718);(-122.81556485859892,38.55554557073706);(-122.81553012841047,38.5556626724578) l(r:p10udm10666-p10ulv10816);(-122.81556485859892,38.55554557073706);(-122.81562263457202,38.555392457164395) l(r:p10udm10666-p10udt3261lv);(-122.81546158927415,38.55553639596499);(-122.81556485859892,38.55554557073706) l(r:p10udm10667-p10ulv10746);(-122.81525502763937,38.55552705814536);(-122.8152553505497,38.555400890177935) l(r:p10udm10667-p10ulv10780);(-122.81525502763937,38.55552705814536);(-122.81525470472701,38.555653226110046) l(r:p10udm10667-p10udt3261lv);(-122.81525502763937,38.55552705814536) l(r:p10udt3262lv-p10ulv11101);(-122.81274006138993,38.556108844509204);(-122.81261381420238,38.55610864250169) l(r:p10udm10671-p10ulv10720);(-122.81274076277236,38.55583848464123);(-122.8129243242906,38.555865814212126) l(r:p10udm10671-p10ulv11050);(-122.81274076277236,38.55583848464123);(-122.81261439908242,38.5558833426142) l(r:p10udm10673-p10ulv10766);(-122.81274029518514,38.55601872455459);(-122.81294685788316,38.55602806681325) l(r:p10udm10671-p10udm10673);(-122.81274052897926,38.55592860459861);(-122.81274029518514,38.55601872455459) l(r:p10udm10676-p10ulv11064);(-122.81274099656441,38.55574836468244);(-122.81259184288352,38.555730101942956) l(r:p10udm10671-p10udm10676);(-122.81274099656441,38.55574836468244) l(r:p10udm10671-p10udt3262lv);(-122.81272881818234,38.55601870619596);(-122.81272905199081,38.55592858624003);(-122.81274076277236,38.55583848464123) l(r:p10udm10672-p10ulv10742);(-122.8127398275937,38.5561989644624);(-122.81293496048497,38.55619026439369) l(r:p10udm10672-p10ulv11133);(-122.8127398275937,38.5561989644624);(-122.81261353345764,38.55621678644459) l(r:p10udm10674-p10ulv10795);(-122.8127508370582,38.556379222722384);(-122.81292301631795,38.55637048595847) l(r:p10udm10677-p10ulv11068);(-122.81275060327319,38.55646934267147);(-122.81261290177645,38.55646011030879) l(r:p10udm10674-p10udm10677);(-122.81275060327319,38.55646934267147) l(r:p10udm10672-p10udm10674);(-122.81273959379644,38.55628908441421);(-122.8127508370582,38.556379222722384) l(r:p10udm10672-p10udt3262lv);(-122.8127398275937,38.5561989644624) l(r:p10udt3263lv-p10ulv11089);(-122.81273068862083,38.55529774650952);(-122.81260444285192,38.55529754449546) l(r:p10udm10682-p10ulv10770);(-122.81273092242093,38.55520762654244);(-122.81292595921042,38.55523497446778) l(r:p10udm10680-p10ulv10723);(-122.81273139001802,38.55502738660412);(-122.81288098614351,38.55487442121695) l(r:p10udm10680-p10ulv10801);(-122.81273139001802,38.55502738660412);(-122.81297256721597,38.554964687905006) l(r:p10udm10680-p10udm10682);(-122.81273115622,38.555117506573986);(-122.81273139001802,38.55502738660412) l(r:p10udm10682-p10udt3263lv);(-122.81273092242093,38.55520762654244) l(r:p10udm10684-p10ulv10841);(-122.81273045481967,38.555387866475186);(-122.81293703906582,38.55538819675106) l(r:p10udm10684-p10ulv11074);(-122.81273045481967,38.555387866475186);(-122.81260420889316,38.55538766446049) l(r:p10udm10681-p10ulv10740);(-122.81274146414539,38.55556812476072);(-122.81293661868534,38.55555041268768) l(r:p10udm10681-p10ulv11091);(-122.81274146414539,38.55556812476072);(-122.8126152646929,38.55554989876473) l(r:p10udm10681-p10udm10684);(-122.81273022101749,38.555477986439485);(-122.81274146414539,38.55556812476072) l(r:p10udm10684-p10udt3263lv);(-122.81273045481967,38.555387866475186) l(r:p10udm10689-p10ulv10728);(-122.8079524035552,38.552442202251335);(-122.80798661711893,38.552523366710794) l(r:p10udm10689-p10ulv10842);(-122.8079524035552,38.552442202251335);(-122.80797562010089,38.552343107916016) l(r:p10udm10689-p10ulv10848);(-122.8079524035552,38.552442202251335);(-122.80790628201183,38.55252323492455) l(r:p10udm10690-p10ulv10769);(-122.80784911567682,38.552442032771864);(-122.80789528519445,38.55234297612275) l(r:p10udm10690-p10ulv10777);(-122.80784911567682,38.552442032771864);(-122.80774568379934,38.552495935191665) l(r:p10udm10690-p10ulv10856);(-122.80784911567682,38.552442032771864);(-122.8077459957987,38.55237877921181) l(r:p10udm10689-p10udm10690);(-122.80784911567682,38.552442032771864) l(r:p10udm10689-p10udt3264lv);(-122.8079524035552,38.552442202251335) l(r:p10udm10694-p10ulv10835);(-122.80815895536625,38.55245155293555);(-122.80817064732105,38.55237046375231) l(r:p10udm10693-p10ulv10832);(-122.80827371969285,38.55245174093677);(-122.80825057533166,38.55252379933463) l(r:p10udm10688-p10ulv10727);(-122.80837700758772,38.55245191004166);(-122.808376816218,38.55252400603131) l(r:p10udm10688-p10ulv10822);(-122.80837700758772,38.55245191004166);(-122.80837722287784,38.552370802052266) l(r:p10udm10688-p10udm10693);(-122.80837700758772,38.55245191004166) l(r:p10udm10693-p10udm10694);(-122.80827371969285,38.55245174093677) l(r:p10udm10694-p10udt3264lv);(-122.80815895536625,38.55245155293555) l(r:p10udt3265lv-p10ulv10821);(-122.80780472147804,38.55187420148699);(-122.80774755576832,38.551792999277296) l(r:p10udt3265lv-p10ulv10826);(-122.80780472147804,38.55187420148699);(-122.80773567142865,38.55194618441939) l(r:p10udm10698-p10ulv10729);(-122.80790800854378,38.551874371003535);(-122.80789677200912,38.55178423217818) l(r:p10udm10698-p10ulv10851);(-122.80790800854378,38.551874371003535);(-122.80789636433823,38.5519374361683) l(r:p10udm10698-p10udt3265lv);(-122.80790800854378,38.551874371003535) l(r:p10udt3266lv-p10ulv10745);(-122.81625099675149,38.55651994927904);(-122.81615920302676,38.556510793133754) l(r:p10udm10702-p10ulv10730);(-122.81625140969798,38.55635773333892);(-122.81614813912199,38.556348559170665) l(r:p10udm10702-p10ulv19764);(-122.81625140969798,38.55635773333892);(-122.81646938216349,38.55639412338922) l(r:p10udm10713-p10ulv19778);(-122.81626309321611,38.55627664338054);(-122.81649267996212,38.55625897941769) l(r:p10udm10715-p10ulv19808);(-122.81624027678009,38.5562225353709);(-122.81648131748162,38.556213901441325) l(r:p10udm10707-p10ulv10847);(-122.81575828722143,38.55620375375366);(-122.81568963211897,38.556122537388426) l(r:p10udm10704-p10ulv10756);(-122.8155744244181,38.55629358457948);(-122.81564298748312,38.55641084899573) l(r:p10udm10704-p10ulv10789);(-122.8155744244181,38.55629358457948);(-122.81548293055319,38.556167271948304) l(r:p10udm10704-p10udm10707);(-122.81566635587687,38.55624866920297);(-122.8155744244181,38.55629358457948) l(r:p10udm10707-p10udm10715);(-122.81622893740703,38.55616844537308);(-122.81618321288947,38.55609627732271);(-122.81604555758258,38.5560690250034);(-122.81595362658781,38.55611394068022);(-122.81585021845174,38.55615883823152);(-122.81575828722143,38.55620375375366) l(r:p10udm10713-p10udm10715);(-122.81624027678009,38.5562225353709) l(r:p10udm10702-p10udm10713);(-122.81626309321611,38.55627664338054) l(r:p10udm10702-p10udt3266lv);(-122.81625120322516,38.55643884130954);(-122.81625140969798,38.55635773333892) l(r:p10udm10712-p10ulv19777);(-122.81623929023621,38.5566100512291);(-122.81645728637287,38.556637429305795) l(r:p10udm10714-p10ulv19802);(-122.81623908374787,38.55669115919618);(-122.81646864890394,38.55668250728137) l(r:p10udm10706-p10ulv10812);(-122.81623887725874,38.55677226716211);(-122.81612410601055,38.556772086952016) l(r:p10udm10708-p10ulv16083);(-122.81622719363098,38.556853357110924);(-122.81599758195746,38.556880032542324) l(r:p10udm10708-p10ulv17459);(-122.81622719363098,38.556853357110924);(-122.816490961595,38.55693487915903) l(r:p10udm10708-p10ulv17641);(-122.81622719363098,38.556853357110924);(-122.81621516578039,38.55706962699416) l(r:p10udm10706-p10udm10708);(-122.81622719363098,38.556853357110924) l(r:p10udm10706-p10udm10714);(-122.81623887725874,38.55677226716211) l(r:p10udm10712-p10udm10714);(-122.81623908374787,38.55669115919618) l(r:p10udm10712-p10udt3266lv);(-122.81623929023621,38.5566100512291) l(r:p10udt3267lv-p10ulv10731);(-122.81493362749808,38.5555445745814);(-122.81495690478793,38.55541844289995) l(r:p10udt3267lv-p10ulv10744);(-122.81493362749808,38.5555445745814);(-122.81488804333543,38.55541833403652) l(r:p10udt3267lv-p10ulv10785);(-122.81493362749808,38.5555445745814);(-122.81492191950205,38.55563467641107) l(r:p10udm10719-p10ulv10861);(-122.81503694295026,38.55553572582414);(-122.8150825505336,38.55565295431205) l(r:p10udm10719-p10udt3267lv);(-122.81503694295026,38.55553572582414) l(r:p10udt3268lv-p10ulv16484);(-122.8105811762484,38.55656498498704);(-122.81073014208685,38.556655346237214) l(r:p10udm10722-p10ulv10774);(-122.81066165770274,38.5565110429873);(-122.81055852955178,38.55644779192747) l(r:p10udm10724-p10ulv10829);(-122.81074216266614,38.556448088937614);(-122.81066201229255,38.556375863080355) l(r:p10udm10720-p10ulv10732);(-122.8110977159836,38.55653878351454);(-122.81098270914661,38.55662871820263) l(r:p10udm10725-p10ulv11092);(-122.81144153413578,38.55672859045963);(-122.8114189095363,38.55660238557215) l(r:p10udm10720-p10udm10725);(-122.81114341210755,38.55661996552732);(-122.81120058544427,38.55670116603126);(-122.81124628178617,38.55678234800217);(-122.81133816943768,38.55675546002401);(-122.81144153413578,38.55672859045963) l(r:p10udm10720-p10udm10724);(-122.81081119042935,38.556385116284744);(-122.81096036860517,38.55639436929911);(-122.81102904222575,38.55646657642723);(-122.8110977159836,38.55653878351454) l(r:p10udm10722-p10udm10724);(-122.81074216266614,38.556448088937614) l(r:p10udm10722-p10udt3268lv);(-122.81066165770274,38.5565110429873) l(r:p10udm10723-p10ulv10819);(-122.81051214811241,38.5566279575014);(-122.81039754283839,38.556564687723636) l(r:p10udm10721-p10ulv10750);(-122.8103626617147,38.55673585982779);(-122.81022507849715,38.55668156469038) l(r:p10udm10721-p10ulv16034);(-122.8103626617147,38.55673585982779);(-122.81045414731949,38.556862176427366) l(r:p10udm10721-p10udm10723);(-122.81043166641606,38.55668189939665);(-122.8103626617147,38.55673585982779) l(r:p10udm10723-p10udt3268lv);(-122.81051214811241,38.5566279575014) l(r:p10udt3269lv-p10ulv10754);(-122.80797382223183,38.55301900778061);(-122.80796260941611,38.55291985698074) l(r:p10udt3269lv-p10ulv10817);(-122.80797382223183,38.55301900778061);(-122.80797360648347,38.55310011575909) l(r:p10udm10728-p10ulv10734);(-122.80827221182545,38.55301949682763);(-122.80824947421756,38.55293835125472) l(r:p10udm10728-p10ulv10840);(-122.80827221182545,38.55301949682763);(-122.80824906727837,38.55309155521772) l(r:p10udm10728-p10udt3269lv);(-122.8080771109365,38.55301917715219);(-122.80818039964204,38.55301934643263);(-122.80827221182545,38.55301949682763) l(r:p10udm10729-p10ulv10753);(-122.80787055751289,38.55300982632028);(-122.80790522688164,38.55291976284075) l(r:p10udm10729-p10ulv10784);(-122.80787055751289,38.55300982632028);(-122.80790477125082,38.553090990796235) l(r:p10udm10729-p10ulv10806);(-122.80787055751289,38.55300982632028);(-122.80774448378489,38.55294653509222) l(r:p10udm10729-p10ulv10864);(-122.80787055751289,38.55300982632028);(-122.80774407577387,38.55309973905048) l(r:p10udm10729-p10udt3269lv);(-122.80787055751289,38.55300982632028) l(r:p10udm10736-p10ulv10739);(-122.81363668807292,38.55556054124808);(-122.81347617405707,38.55549720138098) l(r:p10udm10736-p10ulv10773);(-122.81363668807292,38.55556054124808);(-122.81354517525048,38.55544323909433) l(r:p10udm10736-p10udt3270lv);(-122.81363668807292,38.55556054124808) l(r:p10udm10737-p10ulv10749);(-122.81385474975464,38.55556088817523);(-122.81383151697874,38.555668995636275) l(r:p10udm10737-p10ulv10764);(-122.81385474975464,38.55556088817523);(-122.81383216777688,38.555416659725175) l(r:p10udm10737-p10ulv10792);(-122.81385474975464,38.55556088817523);(-122.81387800569725,38.5554437687104) l(r:p10udm10737-p10udt3270lv);(-122.81385474975464,38.55556088817523) l(r:p10udt3271lv-p10ulv10748);(-122.8145540553551,38.555868407144246);(-122.81478343308855,38.55593185450056) l(r:p10udt3271lv-p10ulv10839);(-122.8145540553551,38.555868407144246);(-122.81440446069777,38.556021374647024) l(r:p10udt3271lv-p10ulv10857);(-122.8145540553551,38.555868407144246);(-122.81448477656639,38.55603051398035) l(r:p10udm10742-p10ulv10757);(-122.81454278676357,38.55578728099274);(-122.81479523379812,38.55580570470232) l(r:p10udm10742-p10ulv10759);(-122.81454278676357,38.55578728099274);(-122.81431317787374,38.55581395313227) l(r:p10udm10742-p10udt3271lv);(-122.81454278676357,38.55578728099274) l(r:p10udt3272lv-p10ulv16401);(-122.81535511562056,38.556779888637706);(-122.81560747425146,38.55683435858468) l(r:p10udm10746-p10ulv10752);(-122.81537823122672,38.55671684086923);(-122.81557343429698,38.55668110041846) l(r:p10udm10748-p10ulv10805);(-122.81537841563194,38.55664474490237);(-122.81527507556898,38.55666260595129) l(r:p10udm10747-p10ulv10771);(-122.81537860003651,38.55657264893462);(-122.81558521072927,38.5565639625498) l(r:p10udm10747-p10udm10748);(-122.81537860003651,38.55657264893462) l(r:p10udm10746-p10udm10748);(-122.81537841563194,38.55664474490237) l(r:p10udm10746-p10udt3272lv);(-122.81537823122672,38.55671684086923) l(r:p10udm10749-p10ulv16032);(-122.81534345405419,38.556851966500155);(-122.81554939769524,38.557104628049046) l(r:p10udm10749-p10ulv16167);(-122.81534345405419,38.556851966500155);(-122.81558419763131,38.55696049036662) l(r:p10udm10749-p10udt3272lv);(-122.81534345405419,38.556851966500155) l(r:p10udt3273lv-p10ulv10767);(-122.81596588481743,38.55580755081568);(-122.815839592149,38.555825376283) l(r:p10udm10753-p10ulv10775);(-122.81608031009605,38.55594291113896);(-122.81596544826057,38.55597877876573) l(r:p10udm10756-p10ulv19786);(-122.81637891824082,38.55586227157629);(-122.81648195902926,38.555961565516036) l(r:p10udm10758-p10ulv19811);(-122.81645939459291,38.55580832557154);(-122.8163907161508,38.55573612160879) l(r:p10udm10756-p10udm10758);(-122.81645939459291,38.55580832557154) l(r:p10udm10753-p10udm10756);(-122.81621796517592,38.55597016341739);(-122.81629844176847,38.555916217524896);(-122.81637891824082,38.55586227157629) l(r:p10udm10753-p10udt3273lv);(-122.81602308591843,38.55587973699026);(-122.81608031009605,38.55594291113896) l(r:p10udm10754-p10ulv10788);(-122.8158514829581,38.55566317838082);(-122.81597795913902,38.55557325691693) l(r:p10udm10754-p10ulv19789);(-122.8158514829581,38.55566317838082);(-122.81604675184467,38.5556004011333) l(r:p10udm10755-p10ulv10802);(-122.8157827132659,38.55562702205237);(-122.81589782746461,38.55549202262487) l(r:p10udm10754-p10udm10755);(-122.8157827132659,38.55562702205237) l(r:p10udm10754-p10udt3273lv);(-122.81590868383066,38.555735364612524);(-122.8158514829581,38.55566317838082) l(r:p10udt3274lv-p10ulv10800);(-122.81229524647547,38.555035700163735);(-122.81228409772719,38.554909513805136) l(r:p10udm10762-p10ulv11059);(-122.81219195486015,38.55503553450639);(-122.81220310346586,38.55516172087067) l(r:p10udm10761-p10ulv10807);(-122.81207718639973,38.55503535033578);(-122.81207749139745,38.55491819437743) l(r:p10udm10761-p10udm10762);(-122.81207718639973,38.55503535033578) l(r:p10udm10762-p10udt3274lv);(-122.81219195486015,38.55503553450639) l(r:p10udm10763-p10ulv11069);(-122.81241001493788,38.555035884120585);(-122.81239823361366,38.55515302168809) l(r:p10udm10759-p10ulv10768);(-122.81251330655498,38.55503604958553);(-122.81251375128578,38.55486482164135) l(r:p10udm10759-p10udm10763);(-122.81251330655498,38.55503604958553) l(r:p10udm10763-p10udt3274lv);(-122.81241001493788,38.555035884120585) l(r:p10udm10764-p10ulv10776);(-122.81524699660014,38.549696256582266);(-122.81524708884524,38.54966020855588) l(r:p10udm10764-p10udt3275lv);(-122.81524699660014,38.549696256582266) l(r:p10udm10765-p10ulv10849);(-122.81560277560033,38.54968780544002);(-122.81562554348433,38.549759937641475) l(r:p10udm10765-p10udt3275lv);(-122.81560277560033,38.54968780544002) l(r:p10udt3276lv-p10ulv10782);(-122.81593344280162,38.55052644572556);(-122.8158991522899,38.55047231955789) l(r:p10udm10768-p10ulv11058);(-122.81153732760112,38.55520571115644);(-122.81139967576767,38.55517845337894) l(r:p10udm10769-p10ulv11062);(-122.81153711583994,38.55528681912133);(-122.8117321758875,38.55530515703846) l(r:p10udm10776-p10ulv11127);(-122.8115369040779,38.555367927085115);(-122.81142215863677,38.55535873027514) l(r:p10udm10774-p10ulv11094);(-122.81151331491232,38.555611214015514);(-122.81141016374917,38.5555569756931) l(r:p10udm10774-p10udm10776);(-122.81153666878575,38.55545804704352);(-122.81152500362697,38.55553012453281);(-122.81151331491232,38.555611214015514) l(r:p10udm10769-p10udm10776);(-122.8115369040779,38.555367927085115) l(r:p10udm10768-p10udm10769);(-122.81153711583994,38.55528681912133) l(r:p10udm10768-p10udt3277lv);(-122.81153732760112,38.55520571115644) l(r:p10udm10770-p10ulv11070);(-122.81153777464971,38.55503448322684);(-122.81133119143243,38.555034150484374) l(r:p10udm10770-p10ulv11084);(-122.81153777464971,38.55503448322684);(-122.81151526805797,38.55486321833905) l(r:p10udm10770-p10ulv11126);(-122.81153777464971,38.55503448322684);(-122.81129690224955,38.554980023013805) l(r:p10udm10772-p10ulv11077);(-122.8116410662596,38.55503464946137);(-122.8116528958207,38.55489948797737) l(r:p10udm10771-p10ulv11072);(-122.81175583471605,38.55503483405951);(-122.81174405233071,38.55515197155853) l(r:p10udm10767-p10ulv10783);(-122.81185912632778,38.55503500010165);(-122.81188238533375,38.554917881030484) l(r:p10udm10767-p10udm10771);(-122.81185912632778,38.55503500010165) l(r:p10udm10771-p10udm10772);(-122.81175583471605,38.55503483405951) l(r:p10udm10770-p10udm10772);(-122.8116410662596,38.55503464946137) l(r:p10udm10770-p10udt3277lv);(-122.81153777464971,38.55503448322684) l(r:p10udt3278lv-p10ulv10791);(-122.81294526980848,38.55664088248333);(-122.81293409633152,38.556523708212666) l(r:p10udt3278lv-p10ulv15962);(-122.81294526980848,38.55664088248333);(-122.81300230510817,38.55677615407939) l(r:p10udm10779-p10ulv16074);(-122.81324369777695,38.55663234690205);(-122.81320887000922,38.556785495880085) l(r:p10udm10779-p10udt3278lv);(-122.81304856372547,38.55664104748177);(-122.81314040387127,38.55663218207579);(-122.81324369777695,38.55663234690205) l(r:p10udm10780-p10ulv16426);(-122.81275013569997,38.55664958256548);(-122.81278421639114,38.556784817549605) l(r:p10udm10780-p10udt3278lv);(-122.81284195252506,38.55664972938833);(-122.81275013569997,38.55664958256548) l(r:p10udt3279lv-p10ulv10796);(-122.8142496048701,38.54929814848874);(-122.8142034924076,38.54937918370928) l(r:p10udm10782-p10ulv10798);(-122.8151034499208,38.55645505827144);(-122.81505786489232,38.55632881781019) l(r:p10udm10782-p10udt3280lv);(-122.81519533573062,38.55642816725961);(-122.8151034499208,38.55645505827144) l(r:p10udm10783-p10ulv10803);(-122.81537913019599,38.55636537302237);(-122.81533352168505,38.55624814466197) l(r:p10udm10783-p10udt3280lv);(-122.81537913019599,38.55636537302237) l(r:p10udt3281lv-p10ulv10823);(-122.80904416632399,38.55187622967146);(-122.80904395178699,38.5519573376709) l(r:p10udt3281lv-p10ulv10827);(-122.80904416632399,38.55187622967146);(-122.80900990419042,38.55181308950996) l(r:p10udm10784-p10ulv10804);(-122.80886054485748,38.55187593002767);(-122.80886040169537,38.551930002026836) l(r:p10udm10784-p10udt3281lv);(-122.80895235559039,38.55187607988557);(-122.80886054485748,38.55187593002767) l(r:p10udm10787-p10ulv10845);(-122.80913597705829,38.55187637938536);(-122.80917019168864,38.551957543509545) l(r:p10udm10787-p10udt3281lv);(-122.80913597705829,38.55187637938536) l(r:p10udt3282lv-p10ulv10811);(-122.81302020197418,38.55429787339721);(-122.81306522206478,38.55464040263538) l(r:p10udt3282lv-p10ulv11073);(-122.81302020197418,38.55429787339721);(-122.81310025908257,38.554406145658724) l(r:p10udm10789-p10ulv11057);(-122.81291688805437,38.5543067203804);(-122.81289363092702,38.554423839674094) l(r:p10udm10789-p10ulv11177);(-122.81291688805437,38.5543067203804);(-122.8129401684585,38.55418058908109) l(r:p10udm10789-p10udt3282lv);(-122.81291688805437,38.5543067203804) l(r:p10udm10793-p10ulv11193);(-122.81311206247264,38.554279996004);(-122.81305500558156,38.55415373640665) l(r:p10udm10790-p10ulv11066);(-122.81320392292587,38.55426211853854);(-122.81327238697145,38.55441543236256) l(r:p10udm10790-p10udm10793);(-122.81320392292587,38.55426211853854) l(r:p10udm10793-p10udt3282lv);(-122.81311206247264,38.554279996004) l(r:p10udm10796-p10ulv16123);(-122.81472276336375,38.557211467086226);(-122.81484928990078,38.55710352288604) l(r:p10udm10795-p10ulv16112);(-122.81479146465564,38.55727466001397);(-122.81496385374278,38.55718481230159) l(r:p10udm10795-p10udm10796);(-122.81479146465564,38.55727466001397) l(r:p10udm10796-p10udt3283lv);(-122.81464256186557,38.55715726794108);(-122.81472276336375,38.557211467086226) l(r:p10udm10797-p10ulv16273);(-122.81449365955987,38.55703987567767);(-122.81462011670007,38.55695896770722) l(r:p10udm10794-p10ulv10820);(-122.81404681624724,38.55674176971497);(-122.81416179625691,38.55666084400459) l(r:p10udm10794-p10udm10797);(-122.81441345842448,38.55698567637489);(-122.81434475773847,38.55692248322372);(-122.81427601079585,38.556877314021854);(-122.81419578680622,38.55683212656436);(-122.81412704004298,38.55678695727476);(-122.81404681624724,38.55674176971497) l(r:p10udm10797-p10udt3283lv);(-122.81449365955987,38.55703987567767) l(r:p10udt3284lv-p10ulv10846);(-122.81530218640805,38.55055248768169);(-122.81533633820395,38.550660686053476) l(r:p10udm11006-p10ulv11038);(-122.81405157550334,38.5548943104301);(-122.8140742041847,38.55502051485594) l(r:p10udm11006-p10ulv11042);(-122.81405157550334,38.5548943104301);(-122.81400599329066,38.55476806953263) l(r:p10udm11006-p10ulv11135);(-122.81405157550334,38.5548943104301);(-122.81406335410136,38.55477717268416) l(r:p10udm11006-p10udt3359lv);(-122.81405157550334,38.5548943104301) l(r:p10udm11007-p10ulv11041);(-122.8143729497782,38.55488580840507);(-122.81433821797937,38.55500290978142) l(r:p10udm11009-p10ulv11078);(-122.81447624119211,38.554885972137605);(-122.8144765191329,38.55477782815858) l(r:p10udm11007-p10udm11009);(-122.81447624119211,38.554885972137605) l(r:p10udm11007-p10udt3359lv);(-122.81425815835253,38.554894638371195);(-122.8143729497782,38.55488580840507) l(r:p10udt3360lv-p10ulv11039);(-122.81479761538456,38.554877468946586);(-122.81483169909094,38.5550127033832) l(r:p10udt3360lv-p10ulv11103);(-122.81479761538456,38.554877468946586);(-122.8147979622084,38.554742288969834) l(r:p10udm11012-p10ulv11079);(-122.81469432398097,38.55487730549762);(-122.81468250011733,38.55501246730374) l(r:p10udm11012-p10ulv11085);(-122.81469432398097,38.55487730549762);(-122.81469462472938,38.55476014951864) l(r:p10udm11012-p10udt3360lv);(-122.81469432398097,38.55487730549762) l(r:p10udm11016-p10ulv11120);(-122.81501567501714,38.55487781370621);(-122.8150727589961,38.55499506034407) l(r:p10udm11015-p10ulv11119);(-122.81510753576633,38.55485993474963);(-122.81503892885252,38.554760693991824) l(r:p10udm11015-p10udm11016);(-122.81510753576633,38.55485993474963) l(r:p10udm11016-p10udt3360lv);(-122.81491238361177,38.554877650449654);(-122.81501567501714,38.55487781370621) l(r:p10udt3361lv-p10ulv11040);(-122.8148348892107,38.55376904750533);(-122.81470855357266,38.55380489576694) l(r:p10udt3361lv-p10ulv11051);(-122.8148348892107,38.55376904750533);(-122.81470841478108,38.55385896776642) l(r:p10udm11018-p10ulv11045);(-122.81468603982714,38.55363363143476);(-122.81457106523875,38.5537145577155) l(r:p10udm11022-p10ulv11136);(-122.8142624481455,38.55322741849483);(-122.81409011407767,38.55329924125593) l(r:p10udm11018-p10udm11022);(-122.81454866760498,38.55349823335354);(-122.81439981931617,38.55336281691553);(-122.8142624481455,38.55322741849483) l(r:p10udm11018-p10udt3361lv);(-122.81468603982714,38.55363363143476) l(r:p10udm11021-p10ulv11100);(-122.81498373915244,38.5539044633849);(-122.81486890313609,38.55393131795521) l(r:p10udm11020-p10ulv11090);(-122.81512108988206,38.554048872949956);(-122.81499440744744,38.55421990151131) l(r:p10udm11020-p10udm11021);(-122.81512108988206,38.554048872949956) l(r:p10udm11021-p10udt3361lv);(-122.81498373915244,38.5539044633849) l(r:p10udt3362lv-p10ulv11055);(-122.81173471433712,38.55433186137736);(-122.81175738579545,38.55444004225758) l(r:p10udm11024-p10ulv11053);(-122.81194129554935,38.55433219340269);(-122.81195249056624,38.55444035580958) l(r:p10udm11031-p10ulv11210);(-122.81205608635443,38.554323365705926);(-122.81206789155466,38.55419721615929) l(r:p10udm11023-p10ulv11043);(-122.81215937694988,38.55432353148059);(-122.81214759534005,38.55444066903643) l(r:p10udm11029-p10ulv11169);(-122.81227414427914,38.55432371556778);(-122.81224004224683,38.55419749238165) l(r:p10udm11023-p10udm11029);(-122.81227414427914,38.55432371556778) l(r:p10udm11023-p10udm11031);(-122.81215937694988,38.55432353148059) l(r:p10udm11024-p10udm11031);(-122.81205608635443,38.554323365705926) l(r:p10udm11024-p10udt3362lv);(-122.8118265282088,38.554332008989185);(-122.81194129554935,38.55433219340269) l(r:p10udm11030-p10ulv11181);(-122.81155106306922,38.554340577935214);(-122.8115858461071,38.55420545338771) l(r:p10udm11026-p10ulv11071);(-122.81142486606741,38.55432235065841);(-122.811424560017,38.55443950662432) l(r:p10udm11027-p10ulv11083);(-122.81114973099322,38.554204750695604);(-122.81108061127657,38.55430377156706) l(r:p10udm11027-p10ulv11161);(-122.81114973099322,38.554204750695604);(-122.81126473374837,38.554114815795735) l(r:p10udm11026-p10udm11027);(-122.81135607632694,38.554295203727705);(-122.81127580991486,38.55426803825621);(-122.81120699671307,38.55424990323542);(-122.81114973099322,38.554204750695604) l(r:p10udm11026-p10udm11030);(-122.81148222619345,38.55433145507357);(-122.81142486606741,38.55432235065841) l(r:p10udm11030-p10udt3362lv);(-122.81164287695104,38.5543407256911);(-122.81155106306922,38.554340577935214) l(r:p10udt3363lv-p10ulv11044);(-122.81450102436288,38.55417406061055);(-122.81464964311172,38.554399596896516) l(r:p10udt3363lv-p10ulv11106);(-122.81450102436288,38.55417406061055);(-122.81444313117125,38.554372233652984) l(r:p10udt3363lv-p10ulv11111);(-122.81450102436288,38.55417406061055);(-122.81430550299734,38.55433596729056) l(r:p10udt3363lv-p10ulv11118);(-122.81450102436288,38.55417406061055);(-122.81425964242916,38.55431787046788) l(r:p10udm11033-p10ulv11056);(-122.81443234943254,38.55410185548752);(-122.81429458267623,38.55411966110785) l(r:p10udm11036-p10ulv11117);(-122.81431795333582,38.55395748152637);(-122.81420311699003,38.55398433544252) l(r:p10udm11033-p10udm11036);(-122.81437515132707,38.5540296685212);(-122.81431795333582,38.55395748152637) l(r:p10udm11033-p10udt3363lv);(-122.81443234943254,38.55410185548752) l(r:p10udm11038-p10ulv11046);(-122.81174008015357,38.5566749987433);(-122.81172890862848,38.556557824363246) l(r:p10udm11038-p10udt3364lv);(-122.8118548512188,38.55667518325095);(-122.81174008015357,38.5566749987433) l(r:p10udm11040-p10ulv11132);(-122.81208441681433,38.55666653993454);(-122.81207324473137,38.55654936558576) l(r:p10udm11039-p10ulv11098);(-122.81218771076293,38.556666705692635);(-122.81216510832886,38.55653148895031) l(r:p10udm11039-p10udm11040);(-122.81218771076293,38.556666705692635) l(r:p10udm11040-p10udt3364lv);(-122.81208441681433,38.55666653993454) l(r:p10udt3365lv-p10ulv11131);(-122.81138652699549,38.55581828657916);(-122.81154699282207,38.555899653295256) l(r:p10udm11047-p10ulv11109);(-122.81168509279672,38.55575568298989);(-122.81174285365799,38.5556115833544) l(r:p10udm11041-p10ulv11047);(-122.81178829144706,38.555791897078706);(-122.81173038949767,38.55599006870261) l(r:p10udm11041-p10ulv11080);(-122.81178829144706,38.555791897078706);(-122.81198382239832,38.55562999466738) l(r:p10udm11041-p10ulv11104);(-122.81178829144706,38.555791897078706);(-122.81199433697172,38.55599950491272) l(r:p10udm11041-p10ulv11125);(-122.81178829144706,38.555791897078706);(-122.8120749575165,38.55589148995993) l(r:p10udm11041-p10udm11047);(-122.81178829144706,38.555791897078706) l(r:p10udm11047-p10udt3365lv);(-122.81144407663353,38.55575529505212);(-122.81159337120468,38.55571948728109);(-122.81168509279672,38.55575568298989) l(r:p10udm11048-p10ulv11110);(-122.8113519547696,38.55587230307246);(-122.81127178085848,38.555809089620745) l(r:p10udm11042-p10ulv11048);(-122.81130590550472,38.5559263010568);(-122.81120268333494,38.555899098538646) l(r:p10udm11049-p10ulv11114);(-122.81125985617084,38.55598029902236);(-122.81142032221331,38.55606166591038) l(r:p10udm11045-p10ulv11086);(-122.81114477969177,38.556097269864956);(-122.8110646293023,38.55602504427897) l(r:p10udm11044-p10ulv11082);(-122.8110182258067,38.5562142220647);(-122.81113276033098,38.55630452722144) l(r:p10udm11044-p10udm11045);(-122.81107577608249,38.5561512307246);(-122.8110182258067,38.5562142220647) l(r:p10udm11045-p10udm11049);(-122.81120232976372,38.55603427846086);(-122.81114477969177,38.556097269864956) l(r:p10udm11042-p10udm11049);(-122.81125985617084,38.55598029902236) l(r:p10udm11042-p10udm11048);(-122.81130590550472,38.5559263010568) l(r:p10udm11048-p10udt3365lv);(-122.8113519547696,38.55587230307246) l(r:p10udm11053-p10ulv11105);(-122.81406616282887,38.55368672080906);(-122.81420413756639,38.553587807454534) l(r:p10udm11052-p10ulv11049);(-122.81414638324934,38.553731908370736);(-122.81422692845788,38.55365092787947) l(r:p10udm11055-p10ulv11130);(-122.8142035576959,38.55381310745101);(-122.81408862870063,38.553876009254324) l(r:p10udm11052-p10udm11055);(-122.8142035576959,38.55381310745101) l(r:p10udm11052-p10udm11053);(-122.81414638324934,38.553731908370736) l(r:p10udm11053-p10udt3366lv);(-122.81406616282887,38.55368672080906) l(r:p10udm11057-p10ulv11215);(-122.81363007405334,38.55367701536482);(-122.81353837739455,38.55363180917158) l(r:p10udm11054-p10ulv11116);(-122.81360693463655,38.55374907481902);(-122.81374463109503,38.553758306004084) l(r:p10udm11054-p10ulv11195);(-122.81360693463655,38.55374907481902);(-122.8134463082215,38.55373079489901) l(r:p10udm11054-p10udm11057);(-122.81360693463655,38.55374907481902) l(r:p10udm11057-p10udt3366lv);(-122.81388281552239,38.55357828503278);(-122.81377959572613,38.55355108478177);(-122.81367635274748,38.55353289643969);(-122.81365321342362,38.553604955905044);(-122.81363007405334,38.55367701536482) l(r:p10udt3367lv-p10ulv11158);(-122.81097937371291,38.553519561026);(-122.81108240372373,38.5536188597307) l(r:p10udm11058-p10ulv11052);(-122.81103692186448,38.55345656967056);(-122.81092236836024,38.55337527638653) l(r:p10udm11063-p10ulv11141);(-122.81116340014403,38.553366653379456);(-122.81127795390701,38.55344794642839) l(r:p10udm11060-p10ulv11076);(-122.81130133111765,38.55328576744714);(-122.81127861357936,38.55319561045818) l(r:p10udm11064-p10ulv11150);(-122.81139319074725,38.55326789139734);(-122.8114732678103,38.553367152782485) l(r:p10udm11060-p10udm11064);(-122.81139319074725,38.55326789139734) l(r:p10udm11060-p10udm11063);(-122.81123235388671,38.55333071643331);(-122.81130133111765,38.55328576744714) l(r:p10udm11058-p10udm11063);(-122.81116340014403,38.553366653379456) l(r:p10udm11058-p10udt3367lv);(-122.81103692186448,38.55345656967056) l(r:p10udm11062-p10ulv11112);(-122.81093330207503,38.55358257088703);(-122.81078415333374,38.55356430585027) l(r:p10udm11065-p10ulv11157);(-122.8108985417289,38.55370868325459);(-122.81107059690048,38.553745009186315) l(r:p10udm11061-p10ulv11096);(-122.81088689983211,38.55377174870294);(-122.81070339168383,38.55372639194721) l(r:p10udm11059-p10ulv11060);(-122.81050703506365,38.554203712188176);(-122.81040424170978,38.55401429301353) l(r:p10udm11059-p10udm11061);(-122.81090964066541,38.55385289376032);(-122.81094383461763,38.55394306934397);(-122.81080573665089,38.554087038824676);(-122.8106563977967,38.55414086960363);(-122.81050703506365,38.554203712188176) l(r:p10udm11061-p10udm11065);(-122.81088689983211,38.55377174870294) l(r:p10udm11062-p10udm11065);(-122.8109101836051,38.55364561780436);(-122.8108985417289,38.55370868325459) l(r:p10udm11062-p10udt3367lv);(-122.81093330207503,38.55358257088703) l(r:p10udm11067-p10ulv11061);(-122.81080573665089,38.554087038824676);(-122.81078261790628,38.554150085710674) l(r:p10udm11067-p10ulv11134);(-122.81080573665089,38.554087038824676);(-122.81076006612388,38.55399684465233) l(r:p10udm11067-p10udt3368lv);(-122.81081084805943,38.55409510769462) l(r:p10udm11069-p10ulv11139);(-122.81100088764688,38.554069329964975);(-122.8111502025215,38.55402451074269) l(r:p10udm11069-p10udt3368lv);(-122.81100088764688,38.554069329964975) l(r:p10udt3369lv-p10ulv11065);(-122.81260696953996,38.5543242487844);(-122.81262964230382,38.55443242949913) l(r:p10udt3369lv-p10ulv11220);(-122.81260696953996,38.5543242487844);(-122.8126188205641,38.554180075183204) l(r:p10udm11071-p10ulv11115);(-122.81271026013991,38.55432441407303);(-122.81270997955755,38.554432558049584) l(r:p10udm11071-p10udt3369lv);(-122.81271026013991,38.55432441407303) l(r:p10udm11072-p10ulv11122);(-122.81249220220764,38.55432406502348);(-122.81248042113765,38.55444120261418) l(r:p10udm11072-p10ulv11183);(-122.81249220220764,38.55432406502348);(-122.81249257675067,38.55417987305342) l(r:p10udm11072-p10udt3369lv);(-122.81249220220764,38.55432406502348) l(r:p10udt3370lv-p10ulv11093);(-122.81371872433036,38.55490279331158);(-122.81352385109685,38.554812362722686) l(r:p10udt3370lv-p10ulv11099);(-122.81371872433036,38.55490279331158);(-122.8135008043398,38.554848374149906) l(r:p10udt3370lv-p10ulv11108);(-122.81371872433036,38.55490279331158);(-122.8137421895572,38.55470456587852) l(r:p10udt3370lv-p10ulv11113);(-122.81371872433036,38.55490279331158);(-122.81351181551275,38.55502863238428) l(r:p10udm11075-p10ulv11067);(-122.8138334925908,38.55490297587241);(-122.81384455108405,38.555065210080805) l(r:p10udm11075-p10udt3370lv);(-122.8138334925908,38.55490297587241) l(r:p10udm11080-p10ulv11075);(-122.81527980337593,38.55481514651294);(-122.81531384184271,38.55496840480977) l(r:p10udm11080-p10udt3371lv);(-122.81527980337593,38.55481514651294) l(r:p10udm11082-p10ulv11102);(-122.81545218597192,38.554725298027506);(-122.81533780990247,38.55457191307165) l(r:p10udm11081-p10ulv11088);(-122.8159568204806,38.55486127295932);(-122.81575012269334,38.55490600801002) l(r:p10udm11081-p10udm11082);(-122.81553263871328,38.55468036464692);(-122.81562456813933,38.55463544928577);(-122.8157050206735,38.55459051578552);(-122.8158309203412,38.55472589444111);(-122.8159568204806,38.55486127295932) l(r:p10udm11082-p10udt3371lv);(-122.81545218597192,38.554725298027506) l(r:p10udm11083-p10ulv11081);(-122.81338764369661,38.55422636339087);(-122.8136514221048,38.55429887984258) l(r:p10udm11083-p10ulv11129);(-122.81338764369661,38.55422636339087);(-122.81345599165547,38.55442473710125) l(r:p10udm11083-p10udt3372lv);(-122.81338764369661,38.55422636339087) l(r:p10udm11084-p10ulv11095);(-122.81346842317795,38.554055263443324);(-122.81366327103898,38.55415470612977) l(r:p10udm11085-p10ulv11123);(-122.81351453947268,38.55397422858678);(-122.81362914338784,38.55403749533775) l(r:p10udm11087-p10ulv11200);(-122.8135606556636,38.553893193710685);(-122.81337714550976,38.55384784114194) l(r:p10udm11085-p10udm11087);(-122.8135606556636,38.553893193710685) l(r:p10udm11084-p10udm11085);(-122.81351453947268,38.55397422858678) l(r:p10udm11084-p10udt3372lv);(-122.81346842317795,38.554055263443324) l(r:p10udm11089-p10ulv11128);(-122.81188776327276,38.55285413340148);(-122.81176140428826,38.552898990488586) l(r:p10udm11089-p10ulv11192);(-122.81188776327276,38.55285413340148);(-122.81207155148158,38.552791344302776) l(r:p10udm11092-p10ulv11178);(-122.81183054521007,38.55279095718557);(-122.81195711523287,38.552664992020254) l(r:p10udm11089-p10udm11092);(-122.81183054521007,38.55279095718557) l(r:p10udm11089-p10udt3373lv);(-122.81188776327276,38.55285413340148) l(r:p10udm11091-p10ulv11156);(-122.81190996489336,38.553142554272426);(-122.81196713635586,38.553223754445526) l(r:p10udm11088-p10ulv11107);(-122.81170326955316,38.553187282197364);(-122.81162319237175,38.553088020966214) l(r:p10udm11090-p10ulv11137);(-122.81159991002782,38.553214152022264);(-122.81163403399793,38.55333136341301) l(r:p10udm11088-p10udm11090);(-122.81159991002782,38.553214152022264) l(r:p10udm11088-p10udm11091);(-122.81180660550828,38.55316942428036);(-122.81170326955316,38.553187282197364) l(r:p10udm11091-p10udt3373lv);(-122.81200222316733,38.55297147374833);(-122.81204796499514,38.55303463145494);(-122.81200184766107,38.553115665744166);(-122.81190996489336,38.553142554272426) l(r:p10udt3374lv-p10ulv11232);(-122.81145264488859,38.552474927852955);(-122.81159059735162,38.55238502956181) l(r:p10udm11096-p10ulv11182);(-122.81138395107831,38.552411732932995);(-122.8114646391125,38.552276682324425) l(r:p10udm11094-p10ulv11138);(-122.81113191762199,38.55224009788215);(-122.81095953562227,38.55232994004585) l(r:p10udm11094-p10udm11096);(-122.81129228099441,38.5523575129779);(-122.81121208745942,38.552303311457834);(-122.81113191762199,38.55224009788215) l(r:p10udm11096-p10udt3374lv);(-122.81138395107831,38.552411732932995) l(r:p10udm11098-p10ulv11235);(-122.8116015093285,38.55260133603977);(-122.81175100879365,38.55248442002817) l(r:p10udm11095-p10ulv11168);(-122.81168167997829,38.55266454929206);(-122.81181965601203,38.55256563873378) l(r:p10udm11095-p10udm11098);(-122.81168167997829,38.55266454929206) l(r:p10udm11098-p10udt3374lv);(-122.8115213388191,38.55253812273225);(-122.8116015093285,38.55260133603977) l(r:p10udt3375lv-p10ulv11140);(-122.8130813892375,38.55282900911534);(-122.81321936367546,38.55273009690616) l(r:p10udm11101-p10ulv11203);(-122.81307049612965,38.552603690771484);(-122.81300184746497,38.5525224727962) l(r:p10udm11100-p10ulv11147);(-122.81382740797389,38.55281217375529);(-122.81372381722859,38.55292916546932) l(r:p10udm11100-p10udm11101);(-122.81315094797698,38.552558759009074);(-122.81323142303947,38.552504815189586);(-122.81331189798176,38.55245087131398);(-122.81339234950882,38.55240593938369);(-122.81352971748647,38.55254133883634);(-122.8136785624511,38.552676756391314);(-122.81382740797389,38.55281217375529) l(r:p10udm11101-p10udt3375lv);(-122.81300121717607,38.55276579681978);(-122.81300149730541,38.55265765281055);(-122.81307049612965,38.552603690771484) l(r:p10udm11102-p10ulv11217);(-122.8131615614393,38.552892221355656);(-122.81308103922302,38.552964189123195) l(r:p10udm11102-p10udt3375lv);(-122.8131615614393,38.552892221355656) l(r:p10udm11103-p10ulv11229);(-122.81280595333935,38.55282856903062);(-122.81285160232973,38.55292777442785) l(r:p10udm11103-p10udt3375lv);(-122.8129930855676,38.552772156304165);(-122.81286349934746,38.552765576764415);(-122.81280595333935,38.55282856903062) l(r:p10udt3376lv-p10ulv11163);(-122.81257464520714,38.55351311378625);(-122.81249384081356,38.55369322512814) l(r:p10udm11105-p10ulv11143);(-122.81249435579342,38.55349496114694);(-122.81235649602607,38.553548812486966) l(r:p10udm11106-p10ulv11144);(-122.81242568351831,38.553422754845094);(-122.81256358979186,38.553350879422624) l(r:p10udm11107-p10ulv11145);(-122.81236846453513,38.553359578895886);(-122.81224201120317,38.55344048449358) l(r:p10udm11109-p10ulv11148);(-122.81229979251448,38.55328737251916);(-122.81216188610112,38.55335924763132) l(r:p10udm11104-p10ulv11142);(-122.81250726017956,38.55294524754407);(-122.81252995577255,38.55304441630221) l(r:p10udm11104-p10udm11109);(-122.8122310971904,38.553224178101196);(-122.81217387853458,38.553161002055575);(-122.81220858949993,38.55305291329088);(-122.81231197200029,38.55301703092677);(-122.81240387787851,38.5529811300816);(-122.81250726017956,38.55294524754407) l(r:p10udm11107-p10udm11109);(-122.81229979251448,38.55328737251916) l(r:p10udm11106-p10udm11107);(-122.81236846453513,38.553359578895886) l(r:p10udm11105-p10udm11106);(-122.81242568351831,38.553422754845094) l(r:p10udm11105-p10udt3376lv);(-122.81249435579342,38.55349496114694) l(r:p10udm11108-p10ulv11146);(-122.81265493466147,38.553531266370555);(-122.81283888748935,38.55340539208047) l(r:p10udm11108-p10ulv11151);(-122.81265493466147,38.553531266370555);(-122.81272421513349,38.55336916055224) l(r:p10udm11108-p10ulv11154);(-122.81265493466147,38.553531266370555);(-122.81282670990512,38.553675733714535) l(r:p10udm11108-p10ulv11160);(-122.81265493466147,38.553531266370555);(-122.81260851356701,38.55372945688102) l(r:p10udm11108-p10ulv11162);(-122.81265493466147,38.553531266370555);(-122.81288423321402,38.55362175344824) l(r:p10udm11108-p10udt3376lv);(-122.81265493466147,38.553531266370555) l(r:p10udm11116-p10ulv11190);(-122.8136081213613,38.5532894628275);(-122.81353907572506,38.55336144917656) l(r:p10udm11117-p10ulv11196);(-122.81352797160625,38.55321723889492);(-122.81343599611142,38.55328017662217) l(r:p10udm11116-p10udm11117);(-122.81352797160625,38.55321723889492) l(r:p10udm11116-p10udt3377lv);(-122.81353670040754,38.55321138784159);(-122.8136081213613,38.5532894628275) l(r:p10udm11118-p10ulv11198);(-122.81339057905508,38.55309085145306);(-122.8132985569876,38.55317181307424) l(r:p10udm11115-p10ulv11149);(-122.8133104064322,38.55302763937064);(-122.81345985745023,38.552928745181944) l(r:p10udm11115-p10udm11118);(-122.8133104064322,38.55302763937064) l(r:p10udm11118-p10udt3377lv);(-122.81339057905508,38.55309085145306) l(r:p10udt3378lv-p10ulv11152);(-122.81411362415699,38.553082989688626);(-122.81397569670926,38.55316387894601) l(r:p10udm11120-p10ulv11164);(-122.81396477753951,38.55294757269205);(-122.8138267572197,38.5530645097789) l(r:p10udm11120-p10udt3378lv);(-122.81396477753951,38.55294757269205) l(r:p10udt3379lv-p10ulv11153);(-122.81107330922201,38.55270862930413);(-122.81068317996429,38.55268096296954) l(r:p10udm11122-p10ulv11159);(-122.81108450269629,38.55281679182009);(-122.81095833207577,38.55278955204312) l(r:p10udm11122-p10udt3379lv);(-122.81108450269629,38.55281679182009) l(r:p10udt3380lv-p10ulv11231);(-122.81145462198023,38.55171791977578);(-122.81135152351077,38.55164565737671) l(r:p10udm11124-p10ulv11175);(-122.81156976151381,38.551573912516616);(-122.81138647041537,38.55144744881092) l(r:p10udm11124-p10ulv11191);(-122.81156976151381,38.551573912516616);(-122.81157025547074,38.55138466047604) l(r:p10udm11124-p10udt3380lv);(-122.81151219180454,38.55164591616094);(-122.81156976151381,38.551573912516616) l(r:p10udm11127-p10ulv11224);(-122.81138557571134,38.551789904872514);(-122.81129392997032,38.551726672913546) l(r:p10udm11123-p10ulv11165);(-122.81132800564559,38.551861908422715);(-122.81148858029141,38.55189821524552) l(r:p10udm11125-p10ulv11188);(-122.81125900623438,38.55191586944016);(-122.81139648699123,38.55200621138983) l(r:p10udm11123-p10udm11125);(-122.81125900623438,38.55191586944016) l(r:p10udm11123-p10udm11127);(-122.81132800564559,38.551861908422715) l(r:p10udm11127-p10udt3380lv);(-122.81138557571134,38.551789904872514) l(r:p10udt3381lv-p10ulv11166);(-122.81270348322514,38.55251298380803);(-122.8128873399343,38.55242315741769) l(r:p10udt3381lv-p10ulv11185);(-122.81270348322514,38.55251298380803);(-122.81260010164083,38.5525488665233) l(r:p10udm11131-p10ulv11213);(-122.81277217828968,38.55257617795584);(-122.81266877326308,38.55262107273199) l(r:p10udm11131-p10udt3381lv);(-122.81277217828968,38.55257617795584) l(r:p10udt3382lv-p10ulv11167);(-122.81045693948498,38.551427923512065);(-122.81053750989096,38.551337933529545) l(r:p10udm11134-p10ulv11184);(-122.81035370038971,38.551409732243116);(-122.81036557908233,38.55125654680672) l(r:p10udm11134-p10ulv11214);(-122.81035370038971,38.551409732243116);(-122.81034175061988,38.5515899536776) l(r:p10udm11134-p10udt3382lv);(-122.81035370038971,38.551409732243116) l(r:p10udm11135-p10ulv11208);(-122.81067465777467,38.551554444350565);(-122.81052539502708,38.55158123899517) l(r:p10udm11133-p10ulv11179);(-122.81086004921325,38.55087884099941);(-122.81069940626254,38.55086956932214) l(r:p10udm11133-p10udm11135);(-122.8106865830754,38.5513832348805);(-122.81072122451737,38.55130218253275);(-122.81074441326703,38.551212099620265);(-122.81076757833677,38.551131028703715);(-122.81080224318293,38.55104096432575);(-122.81082540813209,38.55095989339505);(-122.81086004921325,38.55087884099941) l(r:p10udm11135-p10udt3382lv);(-122.81067465777467,38.551554444350565) l(r:p10udt3383lv-p10ulv11170);(-122.80819413371015,38.556489002156475);(-122.80822820578521,38.55662423844844) l(r:p10udt3384lv-p10ulv11171);(-122.81179299086365,38.55398949772269);(-122.8116900063635,38.55387217564925) l(r:p10udt3384lv-p10ulv11222);(-122.81179299086365,38.55398949772269);(-122.81199992314932,38.553854649666974) l(r:p10udt3384lv-p10ulv11227);(-122.81179299086365,38.55398949772269);(-122.81187379733856,38.55380938687895) l(r:p10udm11141-p10ulv11172);(-122.810344520543,38.55053554945104);(-122.81001095389134,38.5508233939223) l(r:p10udm11141-p10ulv11176);(-122.810344520543,38.55053554945104);(-122.81027542694036,38.55062555791289) l(r:p10udm11144-p10ulv11189);(-122.81027580586122,38.5504813658699);(-122.81039082741904,38.55038241975578) l(r:p10udm11143-p10ulv11186);(-122.81020709128258,38.550427182248185);(-122.80978195276869,38.550624757178845) l(r:p10udm11143-p10udm11144);(-122.81020709128258,38.550427182248185) l(r:p10udm11141-p10udm11144);(-122.81027580586122,38.5504813658699) l(r:p10udm11141-p10udt3385lv);(-122.810344520543,38.55053554945104) l(r:p10udm11145-p10ulv11201);(-122.81059664075687,38.550671138216465);(-122.81066578127142,38.55056310555912) l(r:p10udm11145-p10ulv11230);(-122.81059664075687,38.550671138216465);(-122.81047009553488,38.55078808995438) l(r:p10udm11146-p10ulv11218);(-122.81103292321546,38.55059974689121);(-122.81094123209202,38.550554538654055) l(r:p10udm11145-p10udm11146);(-122.81069980796966,38.55071636527897);(-122.81079152276456,38.55075256170148);(-122.81088323765165,38.55078875805213);(-122.8109293075401,38.550725748176234);(-122.81097537734799,38.550662738281304);(-122.81103292321546,38.55059974689121) l(r:p10udm11145-p10udt3385lv);(-122.81050492616359,38.550634941641306);(-122.81059664075687,38.550671138216465) l(r:p10udt3386lv-p10ulv11173);(-122.81191348688303,38.55179075418472);(-122.81181001197626,38.55186268421441) l(r:p10udm11149-p10ulv11187);(-122.81173033564261,38.5516102190083);(-122.81187966845883,38.551556386820764) l(r:p10udm11149-p10udt3386lv);(-122.81184479331678,38.551727559528366);(-122.81178755268584,38.55167339528337);(-122.81173033564261,38.5516102190083) l(r:p10udm11150-p10ulv11207);(-122.81199365690964,38.55185396723056);(-122.81214301348636,38.551791122708416) l(r:p10udm11150-p10ulv11219);(-122.81199365690964,38.55185396723056);(-122.81187875260727,38.55190785488714) l(r:p10udm11150-p10udt3386lv);(-122.81199365690964,38.55185396723056) l(r:p10udt3387lv-p10ulv11174);(-122.81263658925316,38.55175586550248);(-122.81250999860862,38.551890843430805) l(r:p10udm11153-p10ulv11199);(-122.81232738444892,38.55150303313732);(-122.8121780286288,38.5515658778997) l(r:p10udm11153-p10udt3387lv);(-122.81247624842797,38.55162944023171);(-122.81232738444892,38.55150303313732) l(r:p10udm11154-p10ulv11223);(-122.81278543092436,38.55189128420284);(-122.81264750427715,38.55197217191393) l(r:p10udm11155-p10ulv11228);(-122.8129342965056,38.552017690710436);(-122.81280784616197,38.552098596945434) l(r:p10udm11154-p10udm11155);(-122.8129342965056,38.552017690710436) l(r:p10udm11154-p10udt3387lv);(-122.81278543092436,38.55189128420284) l(r:p10udm11156-p10ulv11180);(-122.8115716432297,38.55085295232922);(-122.81143352891013,38.55100593464748) l(r:p10udm11156-p10udt3388lv);(-122.8115716432297,38.55085295232922) l(r:p10udm11157-p10ulv11206);(-122.8118808191215,38.55111479870737);(-122.81185753787804,38.55124092986003) l(r:p10udm11157-p10udt3388lv);(-122.8118808191215,38.55111479870737) l(r:p10udm11158-p10ulv11194);(-122.81241725118065,38.55225117642109);(-122.81237115818706,38.55232319886793) l(r:p10udm11160-p10ulv11221);(-122.81248594574575,38.552314370738415);(-122.8124168530962,38.55240438043912) l(r:p10udm11158-p10udm11160);(-122.81248594574575,38.552314370738415) l(r:p10udm11158-p10udt3389lv);(-122.81241725118065,38.55225117642109) l(r:p10udm11161-p10ulv11226);(-122.81227988584473,38.55211577566306);(-122.81218788722785,38.5521877244236) l(r:p10udm11159-p10ulv11216);(-122.81219971527689,38.55205256281471);(-122.81210771666119,38.55212451151301) l(r:p10udm11159-p10udm11161);(-122.81219971527689,38.55205256281471) l(r:p10udm11161-p10udt3389lv);(-122.81227988584473,38.55211577566306) l(r:p10udt3390lv-p10ulv11202);(-122.81015869861889,38.551373368042164);(-122.81006736270278,38.55119297910289) l(r:p10udt3390lv-p10ulv11204);(-122.81015869861889,38.551373368042164);(-122.80974626565164,38.551102337338456) l(r:p10udt3390lv-p10ulv11205);(-122.81015869861889,38.551373368042164);(-122.80989467339063,38.551399975734775) l(r:p10udt3390lv-p10ulv11211);(-122.81015869861889,38.551373368042164);(-122.80999765145651,38.55151729942064) l(r:p10udt3390lv-p10ulv11225);(-122.81015869861889,38.551373368042164);(-122.80998683931305,38.551264944760156) l(r:p10udt3391lv-p10ulv11212);(-122.81361319372292,38.55132484645552);(-122.8136132635226,38.55129781044579) l(r:p10udm11381-p10ulv11441);(-122.83511016380059,38.56558709468433);(-122.83503020550056,38.56541575363575) l(r:p10udm11379-p10ulv11439);(-122.8352020126896,38.56557821200388);(-122.83514503178945,38.5653978913575) l(r:p10udm11378-p10ulv11423);(-122.83530534009184,38.56556934540111);(-122.83530523724552,38.565614405359504) l(r:p10udm11378-p10udm11379);(-122.83530534009184,38.56556934540111) l(r:p10udm11379-p10udm11381);(-122.8352020126896,38.56557821200388) l(r:p10udm11381-p10udt3462lv);(-122.83481163928379,38.565622721891216);(-122.83490348825404,38.565613839445234);(-122.83500683634959,38.565595961114695);(-122.83511016380059,38.56558709468433) l(r:p10udm11382-p10ulv11446);(-122.83412259519926,38.5657659398599);(-122.83409947230686,38.56583800325352) l(r:p10udm11380-p10ulv11440);(-122.83403070448426,38.565792845673904);(-122.83399637243743,38.56574773689184) l(r:p10udm11380-p10udm11382);(-122.83403070448426,38.565792845673904) l(r:p10udm11382-p10udt3462lv);(-122.83461642141901,38.5656584943331);(-122.83451307313835,38.565676372318364);(-122.83442118268202,38.56570327843989);(-122.83431783428945,38.56572115625239);(-122.83422594370379,38.56574806222015);(-122.83412259519926,38.5657659398599) l(r:p10udt3491lv-p10ulv11614);(-122.83316895744014,38.56616111352889);(-122.83332903374304,38.566431702134636) l(r:p10udm11470-p10ulv11485);(-122.83344467334932,38.56606237392925);(-122.83347877642402,38.56620661475998) l(r:p10udm11470-p10udt3491lv);(-122.83326086976851,38.566125196404904);(-122.83335276119206,38.56609829119833);(-122.83344467334932,38.56606237392925) l(r:p10udm11471-p10ulv11602);(-122.83299661114934,38.56623296393554);(-122.83312252186744,38.56638634782488) l(r:p10udm11471-p10udt3491lv);(-122.83307704502047,38.566197030580305);(-122.83299661114934,38.56623296393554) l(r:p10udt3550lv-p10ulv11606);(-122.83138937001742,38.566329792159515);(-122.83071052249954,38.56701372580387) l(r:p10udm11591-p10ulv11601);(-122.83120594344952,38.566230395603164);(-122.83069936092016,38.56687852939089) l(r:p10udm11591-p10udt3550lv);(-122.83120594344952,38.566230395603164) l(r:p10udm11593-p10ulv11611);(-122.83173322526653,38.56654657538806);(-122.83124998220279,38.56703252875111) l(r:p10udm11593-p10udt3550lv);(-122.83156129738353,38.566438183900466);(-122.83173322526653,38.56654657538806) l(r:p10udt3551lv-p10ulv15588);(-122.8305181988704,38.565814849003985);(-122.83096721428993,38.565238728932876) l(r:p10udm11595-p10ulv15530);(-122.83069014484492,38.56591423003913);(-122.83102393137166,38.56552719547256) l(r:p10udm11594-p10ulv11604);(-122.830862070169,38.566022622810074);(-122.83114958360213,38.56578872536453) l(r:p10udm11594-p10udm11595);(-122.830862070169,38.566022622810074) l(r:p10udm11595-p10udt3551lv);(-122.83069014484492,38.56591423003913) l(r:p10udm11597-p10ulv15595);(-122.83033479600412,38.56570643909031);(-122.83069145587288,38.56535548669058) l(r:p10udm11597-p10udt3551lv);(-122.83033479600412,38.56570643909031) l(r:p10udt3552lv-p10ulv11607);(-122.82955440333299,38.56564222127052);(-122.82918736726927,38.565524530016766) l(r:p10udm11599-p10ulv11617);(-122.82934719276824,38.56589425593313);(-122.8291292063821,38.56584887784953) l(r:p10udm11599-p10udt3552lv);(-122.8294507982318,38.56576823864944);(-122.82934719276824,38.56589425593313) l(r:p10udm11600-p10ulv15545);(-122.82983120606384,38.56508387871338);(-122.82988836464001,38.56518309401737) l(r:p10udm11600-p10udt3552lv);(-122.82965798679736,38.56552521578553);(-122.82977287835132,38.56548032281284);(-122.82981900496773,38.565390269676755);(-122.82970436880949,38.56532701882271);(-122.82967023114756,38.56520080086827);(-122.82975072930223,38.56513783382403);(-122.82983120606384,38.56508387871338) l(r:p10udt3553lv-p10ulv11609);(-122.83069014484492,38.56591423003913);(-122.83022968672215,38.566472308343464) l(r:p10udt4644lv-p10ulv15059);(-122.84605200180783,38.558915071827265);(-122.84618971240215,38.55892426487265) l(r:p10udt4663lv-p10ulv15087) l(r:p10udt4673lv-p10ulv15110);(-122.84289016201427,38.561452263575795);(-122.84285449207006,38.56201997341978) l(r:p10udm15463-p10ulv15427);(-122.84300492126022,38.56146142956246);(-122.84293515171834,38.56187588923611) l(r:p10udm15463-p10udt4673lv);(-122.84300492126022,38.56146142956246) l(r:p10udt4678lv-p10ulv15393);(-122.83899719092844,38.55718428369125);(-122.83882382543396,38.55772476714823) l(r:p10udm15510-p10ulv15128);(-122.83919224316669,38.557211587882165);(-122.8391229781857,38.55739173339411) l(r:p10udm15510-p10ulv15194);(-122.83919224316669,38.557211587882165);(-122.83920410182449,38.557040375523464) l(r:p10udm15510-p10udt4678lv);(-122.83910044558753,38.55720244971774);(-122.83919224316669,38.557211587882165) l(r:p10udm15513-p10ulv15450);(-122.83858413250758,38.557129642687585);(-122.83839888322944,38.55785034979206) l(r:p10udm15513-p10udt4678lv);(-122.83889393632163,38.557166117573736);(-122.83879068176711,38.557147951365216);(-122.83868740712407,38.55713879707194);(-122.83858413250758,38.557129642687585) l(r:p10udt4705lv-p10ulv15281);(-122.83994024591726,38.56149332754641);(-122.84016968396445,38.56154771318994) l(r:p10udt4707lv-p10ulv15321) l(r:p10udt4737lv-p10ulv15515);(-122.8301785501747,38.5638136880396);(-122.83009896625218,38.563489139730684) l(r:p10udt4737lv-p10ulv15522);(-122.8301785501747,38.5638136880396);(-122.82978860842003,38.56367794136399) l(r:p10udt4737lv-p10ulv15574);(-122.8301785501747,38.5638136880396);(-122.83065953711402,38.564283009983065) l(r:p10udt4738lv-p10ulv17173);(-122.82697509751799,38.55944717648915);(-122.82684871466809,38.55950106180213) l(r:p10udm15674-p10ulv15563);(-122.8270208565514,38.55951032831632);(-122.82719310636847,38.559474534590855) l(r:p10udm15673-p10ulv15516);(-122.82712383085875,38.559645660862465);(-122.82723877932204,38.55957373432202) l(r:p10udm15673-p10udm15674);(-122.82707807164972,38.55958250907659);(-122.82712383085875,38.559645660862465) l(r:p10udm15674-p10udt4738lv);(-122.8270208565514,38.55951032831632) l(r:p10udm15675-p10ulv16996);(-122.82688357969091,38.55932087277967);(-122.82703300364557,38.55923097335448) l(r:p10udm15675-p10udt4738lv);(-122.82692933856448,38.55938402464359);(-122.82688357969091,38.55932087277967) l(r:p10udt4739lv-p10ulv15517);(-122.82844664544827,38.55843098277911);(-122.82828632638805,38.55827754320702) l(r:p10udt4739lv-p10ulv15535);(-122.82844664544827,38.55843098277911);(-122.8280910393526,38.55834935284871) l(r:p10udt4739lv-p10ulv15562);(-122.82844664544827,38.55843098277911);(-122.82814827606482,38.558412521101204) l(r:p10udm15681-p10ulv18042);(-122.82856141941102,38.55843115091464);(-122.82854927837833,38.55871050608735) l(r:p10udm15679-p10ulv15538);(-122.82867621476645,38.55842230693799);(-122.82864223189549,38.55823300454685) l(r:p10udm15679-p10udm15681);(-122.82867621476645,38.55842230693799) l(r:p10udm15681-p10udt4739lv);(-122.82856141941102,38.55843115091464) l(r:p10udt4740lv-p10ulv15518);(-122.83085771047207,38.56298556515145);(-122.83065121002004,38.562940206481215) l(r:p10udt4740lv-p10ulv15539);(-122.83085771047207,38.56298556515145);(-122.83052446397808,38.56314729961031) l(r:p10udt4740lv-p10ulv15558);(-122.83085771047207,38.56298556515145);(-122.83121220430556,38.56355383431168) l(r:p10udm15684-p10ulv15556);(-122.83182330089335,38.562374138642554);(-122.831054484194,38.56228291136941) l(r:p10udm15684-p10udt4740lv);(-122.83085771047207,38.56298556515145);(-122.83182330089335,38.562374138642554) l(r:p10udt4741lv-p10ulv15519);(-122.82763855751985,38.560385406174426);(-122.8275124319795,38.56033114823912) l(r:p10udt4741lv-p10ulv15597);(-122.82763855751985,38.560385406174426);(-122.82790207199474,38.56058405847152) l(r:p10udm15688-p10ulv15543);(-122.82767316278705,38.56031336089686);(-122.8279483696637,38.560421910025696) l(r:p10udm15688-p10ulv15546);(-122.82767316278705,38.56031336089686);(-122.82797156138201,38.56032281180123) l(r:p10udm15694-p10ulv15603);(-122.82763896645086,38.560214178244834);(-122.82777689215152,38.56013327298307) l(r:p10udm15691-p10ulv15584);(-122.82757027262437,38.56014198085887);(-122.82771956835634,38.56010615255185) l(r:p10udm15691-p10udm15694);(-122.82757027262437,38.56014198085887) l(r:p10udm15688-p10udm15694);(-122.82766179269098,38.560268284019);(-122.82763896645086,38.560214178244834) l(r:p10udm15688-p10udt4741lv);(-122.82767316278705,38.56031336089686) l(r:p10udm15690-p10ulv15568);(-122.82756956209234,38.56043937673596);(-122.827615171592,38.56056561229414) l(r:p10udm15687-p10ulv15527);(-122.82727090424706,38.56053806875609);(-122.82735098965557,38.560646331223566) l(r:p10udm15687-p10ulv17234);(-122.82727090424706,38.56053806875609);(-122.82724822921675,38.56042087893759) l(r:p10udm15692-p10ulv15596);(-122.82716751834514,38.56057396427618);(-122.8272360611646,38.5607092458618) l(r:p10udm15687-p10udm15692);(-122.82716751834514,38.56057396427618) l(r:p10udm15687-p10udm15690);(-122.82746617647952,38.560475272521074);(-122.82736281232144,38.5605021562186);(-122.82727090424706,38.56053806875609) l(r:p10udm15690-p10udt4741lv);(-122.82756956209234,38.56043937673596) l(r:p10udt4742lv-p10ulv15520) l(r:p10udt4743lv-p10ulv15521);(-122.83016465396068,38.564841038392046);(-122.83007342084468,38.56458856939401) l(r:p10udt4743lv-p10ulv15532);(-122.83016465396068,38.564841038392046);(-122.83054460569176,38.56434592782364) l(r:p10udt4743lv-p10ulv15534);(-122.83016465396068,38.564841038392046);(-122.82979889633599,38.56418262958859) l(r:p10udt4743lv-p10ulv15548);(-122.83016465396068,38.564841038392046);(-122.83029199747568,38.56438160997247) l(r:p10udt4743lv-p10ulv15552);(-122.83016465396068,38.564841038392046);(-122.83070494299821,38.56449936418549) l(r:p10udt4743lv-p10ulv15557);(-122.83016465396068,38.564841038392046);(-122.82969578294912,38.5641013714228) l(r:p10udt4743lv-p10ulv15572);(-122.83016465396068,38.564841038392046);(-122.82959190365312,38.56434454484957) l(r:p10udt4743lv-p10ulv15575);(-122.83016465396068,38.564841038392046);(-122.83023441494201,38.564462634676715) l(r:p10udt4743lv-p10ulv15600);(-122.83016465396068,38.564841038392046);(-122.83036057093516,38.56450787767114) l(r:p10udt4743lv-p10ulv21628);(-122.83016465396068,38.564841038392046);(-122.83008534484942,38.56439933424498) l(r:p10udt4744lv-p10ulv15523) l(r:p10udm15708-p10ulv15524);(-122.83182609403934,38.56117554317746);(-122.83181449019303,38.5612295986692) l(r:p10udm15708-p10ulv16755);(-122.83182609403934,38.56117554317746);(-122.83186088447708,38.56102238868928) l(r:p10udm15712-p10ulv17585);(-122.83160801518159,38.561175229686334);(-122.83157385509332,38.5610580241912) l(r:p10udm15708-p10udm15712);(-122.83171131569274,38.561175378232775);(-122.83160801518159,38.561175229686334) l(r:p10udm15708-p10udt4745lv);(-122.83182609403934,38.56117554317746) l(r:p10udm15709-p10ulv15601);(-122.8320441938737,38.561166844265315);(-122.83200896322039,38.56150925073393) l(r:p10udm15710-p10ulv16734);(-122.83214749437515,38.561166992426834);(-122.83220465305754,38.56126620666657) l(r:p10udm15709-p10udm15710);(-122.83214749437515,38.561166992426834) l(r:p10udm15709-p10udt4745lv);(-122.8320441938737,38.561166844265315) l(r:p10udt4746lv-p10ulv15525);(-122.82755659858653,38.561061187523094);(-122.8277521731158,38.560872222884726) l(r:p10udt4746lv-p10ulv15565);(-122.82755659858653,38.561061187523094);(-122.82760287581367,38.560908051224985) l(r:p10udt4747lv-p10ulv15598);(-122.82702286523829,38.55867221251478);(-122.82717224451491,38.558600336895715) l(r:p10udm15716-p10ulv15570);(-122.82709155712264,38.5587444102356);(-122.82744785347144,38.55853765923998) l(r:p10udm15715-p10ulv15526);(-122.82728617768541,38.55895197422197);(-122.8274470562937,38.55887110319061) l(r:p10udm15715-p10udm15716);(-122.8271602707256,38.55880759591716);(-122.82721748540916,38.558879776617005);(-122.82728617768541,38.55895197422197) l(r:p10udm15716-p10udt4747lv);(-122.82709155712264,38.5587444102356) l(r:p10udm15718-p10ulv17256);(-122.82670236262841,38.55831125728009);(-122.82689769416073,38.55822142595918) l(r:p10udm15718-p10udt4747lv);(-122.82696565091346,38.55860003171809);(-122.82689695929223,38.558527833922234);(-122.82682826780827,38.5584556360855);(-122.82677105384926,38.55838345519187);(-122.82670236262841,38.55831125728009) l(r:p10udm15720-p10ulv15529);(-122.83214476945271,38.56233855192775);(-122.83213360587656,38.56220335553884) l(r:p10udm15721-p10ulv15581);(-122.83182330089335,38.562374138642554);(-122.83167457003046,38.56216664829506) l(r:p10udm15720-p10udm15721);(-122.83202994730274,38.562356411281726);(-122.83192662411055,38.56236527500777);(-122.83182330089335,38.562374138642554) l(r:p10udm15720-p10udt4749lv);(-122.83214476945271,38.56233855192775) l(r:p10udm15722-p10ulv16733);(-122.83267286285441,38.56229424782799);(-122.83267305091417,38.56221313986731) l(r:p10udm15722-p10ulv16738);(-122.83267286285441,38.56229424782799);(-122.83268383940558,38.5625105521234) l(r:p10udm15722-p10udt4749lv);(-122.83235141568328,38.56232082399894);(-122.83246621677684,38.56231197632467);(-122.83256953982814,38.562303112121974);(-122.83267286285441,38.56229424782799) l(r:p10udm15727-p10ulv15559);(-122.82806460671286,38.559809262876044);(-122.82830597965709,38.559665424668225) l(r:p10udm15725-p10ulv15542);(-122.82793869670361,38.55966488542254);(-122.82822602258912,38.55950309084852) l(r:p10udm15724-p10ulv15531);(-122.82786998160412,38.55960170020367);(-122.82805383762117,38.55951185010159) l(r:p10udm15724-p10udm15725);(-122.82786998160412,38.55960170020367) l(r:p10udm15725-p10udm15727);(-122.82799591284352,38.55973706573474);(-122.82793869670361,38.55966488542254) l(r:p10udm15727-p10udt4750lv);(-122.82852332591358,38.55997215221361);(-122.82842004856661,38.55996298886083);(-122.82830527216869,38.55996282058108);(-122.82819051722528,38.55995364019172);(-122.8281333007195,38.55988145997647);(-122.82806460671286,38.559809262876044) l(r:p10udm15729-p10ulv15582);(-122.82897093257773,38.55998181903204);(-122.8289706763039,38.56008996300002) l(r:p10udm15729-p10ulv15583);(-122.82897093257773,38.55998181903204);(-122.82895975393903,38.55985563429805) l(r:p10udm15726-p10ulv15553);(-122.8292004641155,38.559991166171386);(-122.82916571120398,38.560126295889596) l(r:p10udm15726-p10ulv15560);(-122.8292004641155,38.559991166171386);(-122.82918937038892,38.55982893346769) l(r:p10udm15726-p10udm15729);(-122.8290856876681,38.55999099865668);(-122.8292004641155,38.559991166171386) l(r:p10udm15729-p10udt4750lv);(-122.82875287874265,38.559972488233065);(-122.82886763378966,38.559981668071366);(-122.82897093257773,38.55998181903204) l(r:p10udt4751lv-p10ulv15599);(-122.82822572413737,38.56445069238717);(-122.82849030459592,38.5642077557366) l(r:p10udm15731-p10ulv15533);(-122.82805382799836,38.56433328374267);(-122.82831836572069,38.56410837145757) l(r:p10udm15731-p10ulv15561);(-122.82805382799836,38.56433328374267);(-122.82813439098024,38.56424328183036) l(r:p10udm15731-p10udt4751lv);(-122.82805382799836,38.56433328374267) l(r:p10udm15733-p10ulv15589);(-122.82838618532898,38.56455005997125);(-122.82855883191294,38.56435204846775) l(r:p10udm15733-p10udt4751lv);(-122.82838618532898,38.56455005997125) l(r:p10udt4752lv-p10ulv15536);(-122.83028675031807,38.56173206730641);(-122.83072189670635,38.56216527458222) l(r:p10udt4752lv-p10ulv17395);(-122.83028675031807,38.56173206730641);(-122.83060806868737,38.561759568770796) l(r:p10udt4752lv-p10ulv17700);(-122.83028675031807,38.56173206730641);(-122.8307331633206,38.56225541112711) l(r:p10udt4752lv-p10ulv17787);(-122.83028675031807,38.56173206730641);(-122.83052749040539,38.56185858443245) l(r:p10udt4753lv-p10ulv15537);(-122.83130221817066,38.564328998020436);(-122.83145093134513,38.56454550076341) l(r:p10udt4753lv-p10ulv15544);(-122.83130221817066,38.564328998020436);(-122.83152074841526,38.56414006026988) l(r:p10udt4753lv-p10ulv15554);(-122.83130221817066,38.564328998020436);(-122.83103908158874,38.56395912560174) l(r:p10udt4753lv-p10ulv15555);(-122.83130221817066,38.564328998020436);(-122.83120956936642,38.56468033332139) l(r:p10udt4754lv-p10ulv17144);(-122.82734066184341,38.56496307552939);(-122.82714587386378,38.56481859573295) l(r:p10udm15744-p10ulv15547);(-122.82743261863139,38.56490913899925);(-122.82737539897471,38.564836958467424) l(r:p10udm15743-p10ulv15540);(-122.8276050318561,38.56481026080566);(-122.8274103299751,38.56462973348719) l(r:p10udm15743-p10udm15744);(-122.82751307532274,38.56486419747273);(-122.8276050318561,38.56481026080566) l(r:p10udm15744-p10udt4754lv);(-122.82743261863139,38.56490913899925) l(r:p10udm15745-p10ulv15564);(-122.82715676944191,38.56506193638179);(-122.82734010118908,38.56519738723746) l(r:p10udm15745-p10udt4754lv);(-122.82724870491806,38.56501701198647);(-122.82715676944191,38.56506193638179) l(r:p10udt4755lv-p10ulv15586);(-122.8278543990517,38.55651055049794);(-122.82785295900939,38.55711435461711) l(r:p10udm15747-p10ulv15541);(-122.8277511052376,38.5565103985635);(-122.8277381871448,38.557114185791825) l(r:p10udm15748-p10ulv15580);(-122.82764781142426,38.556510246537925);(-122.82764669244199,38.5569788706308) l(r:p10udm15748-p10ulv15591);(-122.82764781142426,38.556510246537925);(-122.82762425463768,38.55676254879647) l(r:p10udm15747-p10udm15748);(-122.82764781142426,38.556510246537925) l(r:p10udm15747-p10udt4755lv);(-122.8277511052376,38.5565103985635) l(r:p10udm15750-p10ulv15587);(-122.82807246377294,38.55651087094911);(-122.82811717036692,38.55701561047164) l(r:p10udm15752-p10ulv20607);(-122.82817575758948,38.556511022600006);(-122.82812948443136,38.55666415924923) l(r:p10udm15750-p10udm15752);(-122.82817575758948,38.556511022600006) l(r:p10udm15750-p10udt4755lv);(-122.82796916995719,38.55651071920708);(-122.82807246377294,38.55651087094911) l(r:p10udm15753-p10ulv15549);(-122.8273069763133,38.55985320783818);(-122.82742194647544,38.559772269123805) l(r:p10udm15754-p10ulv15602);(-122.82737566960205,38.559925405380966);(-122.82747916218229,38.559844449686224) l(r:p10udm15754-p10ulv17229);(-122.82737566960205,38.559925405380966);(-122.82727221992609,38.55998833698936) l(r:p10udm15753-p10udm15754);(-122.82737566960205,38.559925405380966) l(r:p10udm15753-p10udt4756lv);(-122.82711213739057,38.55973576388242);(-122.82716959014775,38.55970881262996);(-122.82723828316188,38.559781010254504);(-122.8273069763133,38.55985320783818) l(r:p10udm15755-p10ulv17134);(-122.82630814131042,38.559968886495845);(-122.82630842326117,38.559851730544445) l(r:p10udm15755-p10udt4756lv);(-122.82698573253246,38.559798661336515);(-122.82690530278725,38.55983459055533);(-122.82683635058626,38.55987053669661);(-122.82673298706266,38.55989741984229);(-122.82662960181395,38.559933314892774);(-122.82652625978534,38.55995118585892);(-122.82641144006631,38.55996903971496);(-122.82630814131042,38.559968886495845) l(r:p10udm15758-p10ulv15571);(-122.829543942078,38.560352147935916);(-122.82938331815994,38.560324877862264) l(r:p10udm15757-p10ulv15550);(-122.82954375051418,38.56043325590936);(-122.82939454021609,38.560433038553754) l(r:p10udm15757-p10udm15758);(-122.82954375051418,38.56043325590936) l(r:p10udm15758-p10udt4757lv);(-122.829543942078,38.560352147935916) l(r:p10udm15759-p10ulv18017);(-122.82953308167313,38.56009078330112);(-122.82994627746916,38.5600913842496) l(r:p10udm15759-p10ulv18050);(-122.82953308167313,38.56009078330112);(-122.82962486041102,38.560108940966344) l(r:p10udm15759-p10udt4757lv);(-122.8295328688128,38.56018090327553);(-122.82953308167313,38.56009078330112) l(r:p10udt4758lv-p10ulv15551);(-122.82854666837544,38.5646404153447);(-122.82905295553309,38.56411845850901) l(r:p10udt4758lv-p10ulv15576);(-122.82854666837544,38.5646404153447);(-122.82905269934429,38.564226602400815) l(r:p10udt4759lv-p10ulv18037);(-122.83075007305219,38.55993934461635);(-122.83017729386697,38.55946988959769) l(r:p10udt4759lv-p10ulv18057);(-122.83075007305219,38.55993934461635);(-122.83023355817717,38.559947608749724) l(r:p10udm15763-p10ulv15566);(-122.8309796258035,38.559939676269195);(-122.83097930922378,38.56007485624392) l(r:p10udm15764-p10ulv17762);(-122.83116257228583,38.56023733721045);(-122.83133471650235,38.56024659738537) l(r:p10udm15763-p10udm15764);(-122.83107140471749,38.559957832801196);(-122.83115168495061,38.559984984705764);(-122.8311514951961,38.56006609269106);(-122.83116276202905,38.56015622922749);(-122.83116257228583,38.56023733721045) l(r:p10udm15763-p10udt4759lv);(-122.8308304165147,38.559939460746044);(-122.8309107599777,38.55993957682061);(-122.8309796258035,38.559939676269195) l(r:p10udt4761lv-p10ulv15569);(-122.82915414792218,38.56501079637188);(-122.82924621004845,38.564911798465126) l(r:p10udt4764lv-p10ulv15579);(-122.82771001588239,38.5641074776837);(-122.82784805645831,38.563981512455875) l(r:p10udt4765lv-p10ulv15585);(-122.83008415649822,38.564904005725715);(-122.83035921501164,38.56508464507166) l(r:p10udt4767lv-p10ulv16753);(-122.83115328729154,38.55930007278496);(-122.83131397279712,38.55930030443255) l(r:p10udm15778-p10ulv16744);(-122.83115366678537,38.559137856791935);(-122.8314520189181,38.559165322816135) l(r:p10udm15781-p10ulv16908);(-122.83115387761396,38.55904773679385);(-122.83133749649,38.55905701351355) l(r:p10udm15783-p10ulv18039);(-122.83114299047318,38.558795384237456);(-122.83101665406109,38.558831250065495) l(r:p10udm15781-p10udm15783);(-122.83114258987585,38.558966612239914);(-122.83114277963291,38.55888550423936);(-122.83114299047318,38.558795384237456) l(r:p10udm15778-p10udm15781);(-122.83115387761396,38.55904773679385) l(r:p10udm15778-p10udt4767lv);(-122.83115347703882,38.55921896478901);(-122.83115366678537,38.559137856791935) l(r:p10udm15782-p10ulv18032);(-122.8311530764603,38.559390192779134);(-122.8310152825553,38.559417030045665) l(r:p10udm15776-p10ulv15592);(-122.83115288671141,38.5594713007727);(-122.83065943607866,38.55943453990421) l(r:p10udm15780-p10ulv16860);(-122.8311526758784,38.55956142076423);(-122.83138224848713,38.55955273962572) l(r:p10udm15777-p10ulv15604);(-122.83115248612788,38.559642528755425);(-122.8310147761431,38.559633318023465) l(r:p10udm15777-p10udm15780);(-122.83115248612788,38.559642528755425) l(r:p10udm15776-p10udm15780);(-122.8311526758784,38.55956142076423) l(r:p10udm15776-p10udm15782);(-122.83115288671141,38.5594713007727) l(r:p10udm15782-p10udt4767lv);(-122.8311530764603,38.559390192779134) l(r:p10udt4782lv-p10ulv15696);(-122.81424725432002,38.56359122821446);(-122.81507373151292,38.563574512687225) l(r:p10udm15836-p10ulv15622);(-122.81424704554118,38.56367233607542);(-122.8147634960934,38.563700190492455) l(r:p10udm15836-p10ulv15649);(-122.81424704554118,38.56367233607542);(-122.81506199925253,38.56367362638912) l(r:p10udm15844-p10ulv15878);(-122.81424660478312,38.56384356377817);(-122.81477448854928,38.56388946033058) l(r:p10udm15843-p10ulv15859);(-122.8142348945412,38.56393366540562);(-122.81434970035158,38.563924835512) l(r:p10udm15838-p10ulv15688);(-122.81422318426958,38.56402376703039);(-122.8147740721715,38.564051676047924) l(r:p10udm15838-p10udm15843);(-122.81422318426958,38.56402376703039) l(r:p10udm15843-p10udm15844);(-122.8142348945412,38.56393366540562) l(r:p10udm15836-p10udm15844);(-122.81424681356371,38.5637624559196);(-122.81424660478312,38.56384356377817) l(r:p10udm15836-p10udt4782lv);(-122.81424704554118,38.56367233607542) l(r:p10udm15840-p10ulv15704);(-122.81425917325338,38.56342001871696);(-122.81454610461628,38.56342948565269) l(r:p10udm15841-p10ulv15775);(-122.81425961397495,38.56324879100165);(-122.81468421309268,38.56328551201612) l(r:p10udm15842-p10ulv15854);(-122.81425982273649,38.56316768313475);(-122.81505174517616,38.563195973036045) l(r:p10udm15841-p10udm15842);(-122.81425982273649,38.56316768313475) l(r:p10udm15840-p10udm15841);(-122.81425938201669,38.56333891085244);(-122.81425961397495,38.56324879100165) l(r:p10udm15840-p10udt4782lv);(-122.81424746309801,38.56351012035238);(-122.81425917325338,38.56342001871696) l(r:p10udt4784lv-p10ulv15624);(-122.81162721358396,38.56034271297314);(-122.81152407912222,38.56027946278928) l(r:p10udm15848-p10ulv15834);(-122.81138561684891,38.56055861258922);(-122.81119061342945,38.560513238111916) l(r:p10udm15848-p10udt4784lv);(-122.81155820626215,38.560396674068535);(-122.81148919883711,38.56045063512247);(-122.81143166902416,38.56050461462372);(-122.81138561684891,38.56055861258922) l(r:p10udm15849-p10ulv16295);(-122.81177640004665,38.560351964962464);(-122.81190295998952,38.56023501204126) l(r:p10udm15850-p10ulv16441);(-122.81184512522476,38.56040614759806);(-122.81197163819392,38.56030721858066) l(r:p10udm15849-p10udm15850);(-122.81184512522476,38.56040614759806) l(r:p10udm15849-p10udt4784lv);(-122.81169619728918,38.56029776382471);(-122.81177640004665,38.560351964962464) l(r:p10udt4785lv-p10ulv15689);(-122.81129266398008,38.56099104000868);(-122.81152224336834,38.56098239783617) l(r:p10udm15857-p10ulv15813);(-122.8112928996235,38.56090092013694);(-122.81116669122414,38.560882692573244) l(r:p10udm15851-p10ulv15625);(-122.81130458946694,38.56081983075249);(-122.81118988254569,38.5607926097267) l(r:p10udm15854-p10ulv15701);(-122.81132778059596,38.560729747877176);(-122.81121312095706,38.56068450289898) l(r:p10udm15854-p10ulv15724);(-122.81132778059596,38.560729747877176);(-122.81153426244538,38.56077514060481) l(r:p10udm15851-p10udm15854);(-122.81132778059596,38.560729747877176) l(r:p10udm15851-p10udm15857);(-122.81130458946694,38.56081983075249) l(r:p10udm15857-p10udt4785lv);(-122.8112928996235,38.56090092013694) l(r:p10udm15859-p10ulv15846);(-122.81129242833566,38.56108115987903);(-122.81149902886072,38.56108149273361) l(r:p10udm15860-p10ulv15876);(-122.81130367051136,38.56117129824954);(-122.81116591307737,38.56118008814348) l(r:p10udm15858-p10ulv15819);(-122.81130343487914,38.56126141811717);(-122.81118865652438,38.56126123305048) l(r:p10udm15852-p10ulv15672);(-122.81131467709574,38.56135155648395);(-122.8111768957397,38.56136935837616) l(r:p10udm15852-p10ulv15734);(-122.81131467709574,38.56135155648395);(-122.81150991823286,38.56130681088744) l(r:p10udm15852-p10udm15858);(-122.81131467709574,38.56135155648395) l(r:p10udm15858-p10udm15860);(-122.81130343487914,38.56126141811717) l(r:p10udm15859-p10udm15860);(-122.81130367051136,38.56117129824954) l(r:p10udm15859-p10udt4785lv);(-122.81129242833566,38.56108115987903) l(r:p10udt4792lv-p10ulv16157);(-122.81254456270676,38.56067763046068);(-122.81256719052367,38.56080383505078) l(r:p10udm15887-p10ulv15633);(-122.81244128643638,38.56066845301482);(-122.81241802644531,38.56078557207932) l(r:p10udm15887-p10udt4792lv);(-122.81244128643638,38.56066845301482) l(r:p10udm15893-p10ulv15677);(-122.81414051518027,38.5649248378114);(-122.81404873426698,38.56490666801582) l(r:p10udm15892-p10ulv15638);(-122.8141522257059,38.5648347362094);(-122.81387681370545,38.56480726258225) l(r:p10udm15892-p10udm15893);(-122.8141522257059,38.5648347362094) l(r:p10udm15893-p10udt4795lv);(-122.81414051518027,38.5649248378114) l(r:p10udm15894-p10ulv15680);(-122.8141285724887,38.565105059233595);(-122.81361220525302,38.5650411540694) l(r:p10udm15896-p10ulv18997);(-122.81411662973564,38.56528528064887);(-122.81455278872345,38.565294984437266) l(r:p10udm15895-p10ulv15863);(-122.81411686188832,38.56519516082888);(-122.81517283436736,38.565214856892226) l(r:p10udm15895-p10udm15896);(-122.81411686188832,38.56519516082888) l(r:p10udm15894-p10udm15896);(-122.81412828107611,38.56519607569035);(-122.81411662973564,38.56528528064887) l(r:p10udm15894-p10udt4795lv);(-122.8141285724887,38.565105059233595) l(r:p10udt4799lv-p10ulv16126);(-122.81133079616234,38.55957621335972);(-122.81154889347873,38.55956755262313) l(r:p10udm15912-p10ulv15643);(-122.81133056057743,38.55966633325211);(-122.8112041893058,38.559711189654216) l(r:p10udm15912-p10ulv15877);(-122.81133056057743,38.55966633325211);(-122.81120428360316,38.55967514169795) l(r:p10udm15912-p10udt4799lv);(-122.81133056057743,38.55966633325211) l(r:p10udm15913-p10ulv15769);(-122.811331267329,38.559395973570744);(-122.81120503797595,38.559386758039935) l(r:p10udm15913-p10ulv16495);(-122.811331267329,38.559395973570744);(-122.81151495502297,38.55937824540834) l(r:p10udm15913-p10udt4799lv);(-122.8113310317462,38.559486093465914);(-122.811331267329,38.559395973570744) l(r:p10udt4802lv-p10ulv16411);(-122.8113326572461,38.55886426616086);(-122.81153918083018,38.558891634899894) l(r:p10udm15928-p10ulv15842);(-122.8113324216695,38.55895438606426);(-122.81120616951495,38.55895418252617) l(r:p10udm15927-p10ulv15648);(-122.81133195051318,38.55913462586686);(-122.81120567446962,38.5591434343174) l(r:p10udm15931-p10ulv16370);(-122.81133171493342,38.55922474576608);(-122.81152685616664,38.55921604807018) l(r:p10udm15927-p10udm15931);(-122.81133171493342,38.55922474576608) l(r:p10udm15927-p10udm15928);(-122.81133218609186,38.559044505966256);(-122.81133195051318,38.55913462586686) l(r:p10udm15928-p10udt4802lv);(-122.8113324216695,38.55895438606426) l(r:p10udm15929-p10ulv16019);(-122.81098875843017,38.55870149491169);(-122.81101206724858,38.558566352112194) l(r:p10udm15929-p10udt4802lv);(-122.81133289282164,38.55877414625608);(-122.81121835414001,38.55868384132268);(-122.81110355629889,38.558692668173514);(-122.81098875843017,38.55870149491169) l(r:p10udm15933-p10ulv16439);(-122.81133355242754,38.55852181051527);(-122.81120730102964,38.55852160698033) l(r:p10udm15930-p10ulv16173);(-122.81146001569928,38.55844090599447);(-122.81151707315526,38.558567166278046) l(r:p10udm15930-p10udm15933);(-122.81133376444197,38.55844070259627);(-122.81146001569928,38.55844090599447) l(r:p10udm15933-p10udt4802lv);(-122.81132141538164,38.558774127758355);(-122.81133312839611,38.5586840263499);(-122.81133334041225,38.55860291843315);(-122.81133355242754,38.55852181051527) l(r:p10udm15940-p10ulv15660);(-122.81131422941684,38.561522784226064);(-122.81150944748019,38.561487050619256) l(r:p10udm15940-p10ulv15781);(-122.81131422941684,38.561522784226064);(-122.81117644773364,38.56154058611641) l(r:p10udm15940-p10udt4805lv);(-122.81131422941684,38.561522784226064) l(r:p10udm15941-p10ulv15729);(-122.81132523607758,38.56170304244884);(-122.8111759761442,38.56172082583754) l(r:p10udm15939-p10ulv15652);(-122.81133647838793,38.561793180806944);(-122.81152014864793,38.561784464645164) l(r:p10udm15939-p10udm15941);(-122.81133647838793,38.561793180806944) l(r:p10udm15941-p10udt4805lv);(-122.81132523607758,38.56170304244884) l(r:p10udm16009-p10ulv15693);(-122.81042423406085,38.55951166460917);(-122.810607874969,38.55951196184974) l(r:p10udm16010-p10ulv15699);(-122.810424683819,38.55934043681685);(-122.81061977817407,38.559349764612776) l(r:p10udm16014-p10ulv16510);(-122.81042492053228,38.55925031692415);(-122.8103100743248,38.559277166972024) l(r:p10udm16010-p10udm16014);(-122.81042492053228,38.55925031692415) l(r:p10udm16009-p10udm16010);(-122.81042444710467,38.55943055670818);(-122.810424683819,38.55934043681685) l(r:p10udm16009-p10udt4824lv);(-122.81042423406085,38.55951166460917) l(r:p10udm16012-p10ulv15789);(-122.81042380797065,38.55967388040777);(-122.81061890322677,38.55968320820691) l(r:p10udm16012-p10ulv16496);(-122.81042380797065,38.55967388040777);(-122.81030898477422,38.55969171846229) l(r:p10udm16011-p10ulv15706);(-122.81042338187703,38.55983609620188);(-122.8106184539239,38.5598544359911) l(r:p10udm16011-p10udm16012);(-122.81042359492427,38.55975498830538);(-122.81042338187703,38.55983609620188) l(r:p10udm16012-p10udt4824lv);(-122.81042380797065,38.55967388040777) l(r:p10udt4826lv-p10ulv15783);(-122.81042160645,38.560511995295364);(-122.8106053208529,38.56048525658399) l(r:p10udm16020-p10ulv16127);(-122.81042136972191,38.560602115168564);(-122.81030654504711,38.560619953214065) l(r:p10udm16017-p10ulv15695);(-122.81043261073715,38.560692253627145);(-122.81061627829804,38.560683538874976) l(r:p10udm16017-p10udm16020);(-122.81043261073715,38.560692253627145) l(r:p10udm16020-p10udt4826lv);(-122.81042136972191,38.560602115168564) l(r:p10udm16021-p10ulv16481);(-122.81042181950437,38.56043088740828);(-122.8103185675502,38.56041269610344) l(r:p10udm16018-p10ulv15765);(-122.81042205623046,38.5603407675324);(-122.81061717693694,38.560341083350266) l(r:p10udm16018-p10udm16021);(-122.81042205623046,38.5603407675324) l(r:p10udm16021-p10udt4826lv);(-122.81042181950437,38.56043088740828) l(r:p10udt4828lv-p10ulv15698);(-122.81541097933511,38.56186276060549);(-122.81542121258563,38.56234942602872) l(r:p10udt4828lv-p10ulv15794);(-122.81541097933511,38.56186276060549);(-122.81533017257873,38.562042873641964) l(r:p10udt4835lv-p10ulv15874);(-122.8120857345559,38.560568750538565);(-122.81200515572407,38.56065874142312) l(r:p10udt4835lv-p10ulv16393);(-122.8120857345559,38.560568750538565);(-122.81218933896575,38.5604517604615) l(r:p10udm16049-p10ulv15713);(-122.81199403013137,38.56052354316926);(-122.81190206745515,38.56057746759756) l(r:p10udm16049-p10udt4835lv);(-122.81199403013137,38.56052354316926) l(r:p10udm16050-p10ulv15862);(-122.81217746254906,38.56060494584781);(-122.81216565644614,38.560731095264934) l(r:p10udm16052-p10ulv16029);(-122.81225773634053,38.56063211069035);(-122.81232686054132,38.560533089242476) l(r:p10udm16050-p10udm16052);(-122.81225773634053,38.56063211069035) l(r:p10udm16050-p10udt4835lv);(-122.81217746254906,38.56060494584781) l(r:p10udt4841lv-p10ulv15730);(-122.81138733614753,38.559900737441204);(-122.8112496282869,38.55989150346419) l(r:p10udm16069-p10ulv15728);(-122.81142155707855,38.55998190081209);(-122.81130666294888,38.56002677580955) l(r:p10udm16071-p10ulv16299);(-122.81147878045704,38.560045077163736);(-122.81166258735533,38.55998228883184) l(r:p10udm16069-p10udm16071);(-122.81147878045704,38.560045077163736) l(r:p10udm16069-p10udt4841lv);(-122.81142155707855,38.55998190081209) l(r:p10udm16072-p10ulv16509);(-122.81135311529314,38.559819574059404);(-122.81154832872693,38.559783840365554) l(r:p10udm16072-p10udt4841lv);(-122.81135311529314,38.559819574059404) l(r:p10udm16086-p10ulv16335);(-122.81428648973534,38.56172580173248);(-122.81444725000839,38.56169902058183) l(r:p10udm16085-p10ulv15787);(-122.81428563165551,38.56205924526093);(-122.81446925591825,38.5620685484474) l(r:p10udm16084-p10ulv15747);(-122.8142851910144,38.56223047301139);(-122.81450324969234,38.562239830767524) l(r:p10udm16084-p10udm16085);(-122.81428542293125,38.562140353143356);(-122.8142851910144,38.56223047301139) l(r:p10udm16085-p10udm16086);(-122.81428625782328,38.561815921606915);(-122.81429752704011,38.56189704770102);(-122.81429734152042,38.56196914359861);(-122.81428563165551,38.56205924526093) l(r:p10udm16086-p10udt4846lv);(-122.81428648973534,38.56172580173248) l(r:p10udt4850lv-p10ulv15764);(-122.81447899631641,38.5627444656299);(-122.81451326839104,38.56280760409771) l(r:p10udm16111-p10ulv15818);(-122.81042295577997,38.55999831199144);(-122.8106295295485,38.56000765836091) l(r:p10udm16111-p10ulv16162);(-122.81042295577997,38.55999831199144);(-122.8103196570738,38.559998144664135) l(r:p10udm16111-p10udt4856lv);(-122.81042295577997,38.55999831199144) l(r:p10udm16112-p10ulv15848);(-122.81042252967949,38.56016052777651);(-122.81061755530668,38.560196891544365) l(r:p10udm16114-p10ulv16300);(-122.81010113149499,38.56016901888809);(-122.8101008232318,38.5602861747277) l(r:p10udm16114-p10ulv16483);(-122.81010113149499,38.56016901888809);(-122.81011294110968,38.560042869676) l(r:p10udm16112-p10udm16114);(-122.8103192070559,38.56016937243611);(-122.81021590810552,38.560169205016635);(-122.81010113149499,38.56016901888809) l(r:p10udm16112-p10udt4856lv);(-122.81042252967949,38.56016052777651) l(r:p10udt4859lv-p10ulv16222);(-122.81045265636534,38.55742993196138);(-122.81034940869316,38.557411740685374) l(r:p10udm16126-p10ulv16339);(-122.81045246703484,38.55750202789826);(-122.81067048726379,38.55752040475567) l(r:p10udm16130-p10ulv16550);(-122.81044077678717,38.55758311724262);(-122.81033745785673,38.55759196193165) l(r:p10udm16129-p10ulv16449);(-122.81042887348775,38.557745314510136);(-122.81031405336265,38.55776315258338) l(r:p10udm16127-p10ulv16354);(-122.81042866046172,38.55782642243458);(-122.81062377437098,38.55782673822417) l(r:p10udm16127-p10udm16129);(-122.81042866046172,38.55782642243458) l(r:p10udm16129-p10udm16130);(-122.81044056377578,38.55766422516934);(-122.81042887348775,38.557745314510136) l(r:p10udm16126-p10udm16130);(-122.81044077678717,38.55758311724262) l(r:p10udm16126-p10udt4859lv);(-122.81045246703484,38.55750202789826) l(r:p10udm16128-p10ulv16400);(-122.81046434657412,38.55734884261363);(-122.81069386719562,38.55735822601564) l(r:p10udm16122-p10ulv15829);(-122.80982242999903,38.55704139253759);(-122.80973085025211,38.556951123420696) l(r:p10udm16124-p10ulv16143);(-122.80973047015496,38.55709531529936);(-122.80985643416177,38.55720366432805) l(r:p10udm16123-p10ulv15836);(-122.80963853394128,38.55714022599582);(-122.80955845524231,38.55704096341559) l(r:p10udm16123-p10udm16124);(-122.80964459667973,38.55714787804092) l(r:p10udm16122-p10udm16124);(-122.8097373374539,38.557102536085125) l(r:p10udm16122-p10udm16128);(-122.81045308235652,38.557267716100164);(-122.81044179449646,38.55719560157707);(-122.81040757597647,38.55711443788728);(-122.81037333385724,38.55704228617928);(-122.81031611381836,38.556979109263374);(-122.81010973842832,38.55689766641631);(-122.81002464629567,38.556958810175246);(-122.80991991902673,38.557004368644236);(-122.80982849274874,38.557049044573326) l(r:p10udm16128-p10udt4859lv);(-122.81046434657412,38.55734884261363) l(r:p10udt4861lv-p10ulv16520);(-122.81042560699481,38.55898896922743);(-122.81031087963038,38.55897075932986) l(r:p10udm16132-p10ulv15835);(-122.8104253702846,38.55907908912417);(-122.81060905738839,38.55906136238073) l(r:p10udm16136-p10ulv16290);(-122.81009157462651,38.55943902921583);(-122.810114861658,38.55931289860606) l(r:p10udm16136-p10ulv16368);(-122.81009157462651,38.55943902921583);(-122.81010272020764,38.559565215677374) l(r:p10udm16132-p10udm16136);(-122.81042513357335,38.55916920901953);(-122.8104363980474,38.55925033550996);(-122.81043616134846,38.55934045540271);(-122.81043592464843,38.559430575294094);(-122.8103096479816,38.55943938277606);(-122.81019487253108,38.55943919674238);(-122.81009157462651,38.55943902921583) l(r:p10udm16132-p10udt4861lv);(-122.8104253702846,38.55907908912417) l(r:p10udm16134-p10ulv15980);(-122.81054109142526,38.5587187953327);(-122.81058737955607,38.55857467777704) l(r:p10udm16133-p10ulv15849);(-122.8107706636684,38.55871015461252);(-122.81078185758248,38.55881831704753) l(r:p10udm16133-p10ulv16089);(-122.8107706636684,38.55871015461252);(-122.81078251911592,38.55856598131666) l(r:p10udm16133-p10udm16134);(-122.81065588937444,38.558709969033764);(-122.8107706636684,38.55871015461252) l(r:p10udm16134-p10udt4861lv);(-122.81042584370398,38.55889884932928);(-122.81042608041209,38.55880872942976);(-122.81042631711911,38.55871860952884);(-122.81054109142526,38.5587187953327) l(r:p10udt4862lv-p10ulv15845) l(r:p10udt4897lv-p10ulv16161);(-122.81603003799366,38.557655118248825);(-122.81605267098183,38.55778132224335) l(r:p10udm16215-p10ulv16156);(-122.81592671962504,38.557663967872045);(-122.81594997289876,38.557546848031116) l(r:p10udm16212-p10ulv15958);(-122.81582340123144,38.557672817404004);(-122.81586898843936,38.55779905754356) l(r:p10udm16212-p10ulv16423);(-122.81582340123144,38.557672817404004);(-122.81580072268032,38.55756463735333) l(r:p10udm16214-p10ulv16009);(-122.81570860554373,38.557681648777546);(-122.81570826038897,38.557816828693184) l(r:p10udm16213-p10ulv15976);(-122.81547908320212,38.5576722752036);(-122.81549088299302,38.55754612537053) l(r:p10udm16213-p10ulv16298);(-122.81547908320212,38.5576722752036);(-122.81543285150651,38.5577983707533) l(r:p10udm16213-p10udm16214);(-122.81562828768037,38.55767251028145);(-122.81555942407479,38.557672401807615);(-122.81547908320212,38.5576722752036) l(r:p10udm16212-p10udm16214);(-122.81570860554373,38.557681648777546) l(r:p10udm16212-p10udm16215);(-122.81582340123144,38.557672817404004) l(r:p10udm16215-p10udt4897lv);(-122.81592671962504,38.557663967872045) l(r:p10udm16219-p10ulv17503);(-122.81624815191843,38.55763743674397);(-122.81648954103467,38.557493622886064) l(r:p10udm16219-p10ulv17522);(-122.81624815191843,38.55763743674397);(-122.8163624659712,38.55781785673065) l(r:p10udm16219-p10ulv17556);(-122.81624815191843,38.55763743674397);(-122.8164322006756,38.55747550892623) l(r:p10udm16219-p10ulv17667);(-122.81624815191843,38.55763743674397);(-122.81651208313764,38.55765587477693) l(r:p10udm16219-p10udt4897lv);(-122.8161448336011,38.55764628655984);(-122.81624815191843,38.55763743674397) l(r:p10udt4899lv-p10ulv16188);(-122.81135973407306,38.55728720461622);(-122.81150891418602,38.55729645693509) l(r:p10udm16234-p10ulv16158);(-122.81137102286459,38.55735931905204);(-122.81123329628485,38.55735909705686) l(r:p10udm16234-p10ulv16533);(-122.81137102286459,38.55735931905204);(-122.81152020312805,38.5573685713568) l(r:p10udm16233-p10ulv16101);(-122.81137081090021,38.55744042698624);(-122.81152001486429,38.55744066729887) l(r:p10udm16233-p10ulv16201);(-122.81137081090021,38.55744042698624);(-122.81171515125169,38.55743196927411) l(r:p10udm16231-p10ulv16052);(-122.81137062248673,38.557512522926814);(-122.81123287204576,38.55752131292285) l(r:p10udm16231-p10udm16233);(-122.81137062248673,38.557512522926814) l(r:p10udm16233-p10udm16234);(-122.81137081090021,38.55744042698624) l(r:p10udm16234-p10udt4899lv);(-122.81137102286459,38.55735931905204) l(r:p10udm16235-p10ulv16170);(-122.81134842174957,38.557224102171425);(-122.81121074257092,38.557205856164515) l(r:p10udm16230-p10ulv15981);(-122.81132579716122,38.55709789727667);(-122.81121093114203,38.557133760220836) l(r:p10udm16229-p10ulv15960);(-122.81129181330326,38.5569266139084);(-122.81115401681495,38.556953427801986) l(r:p10udm16229-p10udm16230);(-122.81131453201303,38.55701677084024);(-122.81129181330326,38.5569266139084) l(r:p10udm16232-p10ulv16085);(-122.81155536416047,38.557089255001905);(-122.81153262159616,38.55700811011049) l(r:p10udm16238-p10ulv16210);(-122.8117734304345,38.55708960581747);(-122.81181882222408,38.55728794347307) l(r:p10udm16238-p10ulv16212);(-122.8117734304345,38.55708960581747);(-122.81183109822294,38.556981554147974) l(r:p10udm16232-p10udm16238);(-122.8117734304345,38.55708960581747) l(r:p10udm16230-p10udm16232);(-122.81155536416047,38.557089255001905) l(r:p10udm16230-p10udm16235);(-122.81133710944562,38.55716099972491);(-122.81132579716122,38.55709789727667) l(r:p10udm16235-p10udt4899lv);(-122.81134842174957,38.557224102171425) l(r:p10udt4900lv-p10ulv16250);(-122.81439651219621,38.55911248858608);(-122.81433931017632,38.55904030165434) l(r:p10udm16242-p10ulv16115);(-122.81429319154623,38.55912133675971);(-122.8143272299106,38.55927459523025) l(r:p10udm16246-p10ulv16418);(-122.81420134836974,38.559130203058686);(-122.81417802213144,38.559274358485965) l(r:p10udm16242-p10udm16246);(-122.81420134836974,38.559130203058686) l(r:p10udm16242-p10udt4900lv);(-122.81429319154623,38.55912133675971) l(r:p10udm16243-p10ulv16118);(-122.81466070288273,38.559031798891596);(-122.81478714020909,38.55895990276859) l(r:p10udm16245-p10ulv16289);(-122.81453530740617,38.558698155236485);(-122.81437469291993,38.55867086458526) l(r:p10udm16241-p10ulv15961);(-122.81452403840682,38.558617029121436);(-122.81438633257848,38.55860779883729) l(r:p10udm16241-p10udm16245);(-122.81452403840682,38.558617029121436) l(r:p10udm16243-p10udm16245);(-122.81461495498546,38.5589686422554);(-122.81458068463112,38.55890550378019);(-122.81456939239591,38.558833389664315);(-122.81454662274292,38.55876125736498);(-122.81453530740617,38.558698155236485) l(r:p10udm16243-p10udt4900lv);(-122.81449980965891,38.55911265231287);(-122.81459165277732,38.559103785779556);(-122.81466070288273,38.559031798891596) l(r:p10udt4901lv-p10ulv15972);(-122.8131854034658,38.56141764094255);(-122.81311681633952,38.56130938717311) l(r:p10udm16247-p10ulv15963);(-122.81307060150743,38.56142646970779);(-122.81310466167996,38.561570716482386) l(r:p10udm16259-p10ulv16399);(-122.81296725403008,38.5614443286862);(-122.81297905885397,38.56131817920037) l(r:p10udm16253-p10ulv16217);(-122.81285245200345,38.561453157237295);(-122.81289803637092,38.561579398445005) l(r:p10udm16261-p10ulv16474);(-122.81273764994923,38.56146198567573);(-122.81273793056063,38.561353841829224) l(r:p10udm16254-p10ulv16258);(-122.81262284786733,38.56147081400151);(-122.81255430835034,38.561344535931056) l(r:p10udm16254-p10ulv16369);(-122.81262284786733,38.56147081400151);(-122.81266843184379,38.56159705529725) l(r:p10udm16249-p10ulv16068);(-122.81250804575781,38.561479642214586);(-122.8124732374661,38.561623778850084) l(r:p10udm16249-p10udm16254);(-122.81250804575781,38.561479642214586) l(r:p10udm16254-p10udm16261);(-122.81262284786733,38.56147081400151) l(r:p10udm16253-p10udm16261);(-122.81273764994923,38.56146198567573) l(r:p10udm16253-p10udm16259);(-122.81285245200345,38.561453157237295) l(r:p10udm16247-p10udm16259);(-122.81296725403008,38.5614443286862) l(r:p10udm16247-p10udt4901lv);(-122.81307060150743,38.56142646970779) l(r:p10udm16252-p10ulv16216);(-122.8133002053965,38.561408812064634);(-122.81329985568453,38.56154399187553) l(r:p10udm16250-p10ulv16107);(-122.81341500729958,38.561399983074054);(-122.8134268113334,38.56127383354012) l(r:p10udm16256-p10ulv16282);(-122.81352980917502,38.561391153970796);(-122.81355243893428,38.56151735835994) l(r:p10udm16260-p10ulv16413);(-122.81364461102285,38.561382324754895);(-122.81363341243528,38.561274162629275) l(r:p10udm16255-p10ulv16269);(-122.81378234529915,38.56138254393242);(-122.8138400600336,38.5612564673778) l(r:p10udm16251-p10ulv16111);(-122.81388562276643,38.561391720197044);(-122.81383940912716,38.561508803036595) l(r:p10udm16257-p10ulv16318);(-122.81397744563036,38.5613918661444);(-122.8140121579058,38.56128377700042) l(r:p10udm16251-p10udm16257);(-122.81397744563036,38.5613918661444) l(r:p10udm16251-p10udm16255);(-122.81388562276643,38.561391720197044) l(r:p10udm16255-p10udm16260);(-122.81371347816082,38.5613824343639);(-122.81378234529915,38.56138254393242) l(r:p10udm16256-p10udm16260);(-122.81364461102285,38.561382324754895) l(r:p10udm16250-p10udm16256);(-122.81352980917502,38.561391153970796) l(r:p10udm16250-p10udm16252);(-122.81341500729958,38.561399983074054) l(r:p10udm16252-p10udt4901lv);(-122.8133002053965,38.561408812064634) l(r:p10udt4902lv-p10ulv16486);(-122.81221485027045,38.55946949046714);(-122.81211141154517,38.559523396661916) l(r:p10udm16264-p10ulv16018);(-122.81224914226182,38.55952361763443);(-122.81212277180725,38.559568475031305) l(r:p10udm16269-p10ulv16183);(-122.81230624882139,38.55963185353621);(-122.81216840062821,38.55967669257849) l(r:p10udm16267-p10ulv16164);(-122.81237492685877,38.55970405984603);(-122.81225993999074,38.559784983741906) l(r:p10udm16262-p10ulv15966);(-122.81244362845307,38.559767254125425);(-122.81236302753567,38.559866257261476) l(r:p10udm16262-p10ulv16494);(-122.81244362845307,38.559767254125425);(-122.81251270474418,38.5596862565299) l(r:p10udm16262-p10udm16267);(-122.81244362845307,38.559767254125425) l(r:p10udm16267-p10udm16269);(-122.81237492685877,38.55970405984603) l(r:p10udm16264-p10udm16269);(-122.81227195673605,38.559577726386436);(-122.81230624882139,38.55963185353621) l(r:p10udm16264-p10udt4902lv);(-122.81224914226182,38.55952361763443) l(r:p10udm16268-p10ulv16174);(-122.81221503785382,38.559397394547254);(-122.81210023899526,38.5594062223718) l(r:p10udm16265-p10ulv16087);(-122.81221520198868,38.55933431061661);(-122.81238738836102,38.559325574662914) l(r:p10udm16263-p10ulv15984);(-122.81221538957078,38.55926221469504);(-122.81210063785144,38.559253018540296) l(r:p10udm16270-p10ulv16238);(-122.81221578818051,38.55910901085875);(-122.81210106016646,38.55909080271431) l(r:p10udm16266-p10ulv16125);(-122.8122275001349,38.55901890936326);(-122.81208986423427,38.55898264040536) l(r:p10udm16266-p10udm16270);(-122.8122275001349,38.55901890936326) l(r:p10udm16271-p10ulv16323);(-122.81300757183867,38.55917336245622);(-122.81303017665874,38.5593085789808) l(r:p10udm16270-p10udm16271);(-122.81233035221999,38.559190302824135);(-122.81244512728883,38.55919048676315);(-122.81255992576332,38.5591816585991);(-122.81267470081991,38.55918184231301);(-122.81277802174912,38.55917299556864);(-122.81289279679338,38.5591731790687);(-122.81300757183867,38.55917336245622) l(r:p10udm16263-p10udm16270);(-122.8122155771522,38.55919011877257);(-122.81221578818051,38.55910901085875) l(r:p10udm16263-p10udm16265);(-122.81221538957078,38.55926221469504) l(r:p10udm16265-p10udm16268);(-122.81221520198868,38.55933431061661) l(r:p10udm16268-p10udt4902lv);(-122.81221503785382,38.559397394547254) l(r:p10udm16274-p10ulv15967);(-122.81493350501576,38.560068613659624);(-122.81512855578286,38.56009595792763) l(r:p10udm16274-p10ulv16146);(-122.81493350501576,38.560068613659624);(-122.81480725088612,38.56006841400031) l(r:p10udm16274-p10udt4903lv);(-122.81494521373904,38.55997851189896);(-122.81493350501576,38.560068613659624) l(r:p10udm16275-p10ulv16113);(-122.81490045884993,38.55952783977249);(-122.81480859210447,38.559545718537336) l(r:p10udm16275-p10udt4903lv);(-122.81495713038542,38.559807302218864);(-122.81494583763649,38.559735188149126);(-122.8149345218013,38.55967208606848);(-122.81492322909558,38.55959997199501);(-122.81490045884993,38.55952783977249) l(r:p10udm16277-p10ulv16341);(-122.8151293175709,38.559798562234924);(-122.81516340418571,38.55993379646838) l(r:p10udm16277-p10udt4903lv);(-122.81494572553063,38.55980628897912);(-122.81503749672812,38.5597984171961);(-122.8151293175709,38.559798562234924) l(r:p10udm16289-p10ulv15970);(-122.81135820311248,38.55787298412253);(-122.81120906897273,38.557845707625724) l(r:p10udm16291-p10ulv16119);(-122.81135843864777,38.5577828642023);(-122.81150761978473,38.55779211652465) l(r:p10udm16291-p10ulv16429);(-122.81135843864777,38.5577828642023);(-122.81122080554258,38.55774659422208) l(r:p10udm16289-p10udm16291);(-122.81135843864777,38.5577828642023) l(r:p10udm16289-p10udt4906lv);(-122.81135820311248,38.55787298412253) l(r:p10udm16293-p10ulv16541);(-122.81134627826862,38.558044193472114);(-122.81120859752703,38.55802594746126) l(r:p10udm16290-p10ulv16069);(-122.81134580716221,38.55822443330297);(-122.81119669587558,38.5581881447988) l(r:p10udm16290-p10udm16293);(-122.81134604271591,38.55813431338825);(-122.81134580716221,38.55822443330297) l(r:p10udm16293-p10udt4906lv);(-122.81134627826862,38.558044193472114) l(r:p10udt4909lv-p10ulv15975);(-122.81409626348794,38.55982396236686);(-122.81408513409947,38.559688764282896) l(r:p10udm16312-p10ulv16007);(-122.81388964329288,38.559832646095174);(-122.81388996863981,38.559706478230545) l(r:p10udm16312-p10udt4909lv);(-122.81398148740091,38.55982378004414);(-122.81388964329288,38.559832646095174) l(r:p10udm16313-p10ulv16265);(-122.81419958516759,38.55981511437069);(-122.81421076118727,38.55993228846098) l(r:p10udm16313-p10udt4909lv);(-122.81419958516759,38.55981511437069) l(r:p10udm16342-p10ulv15983);(-122.81485748455495,38.55838324417856);(-122.81484635395148,38.558248046130586) l(r:p10udm16342-p10ulv16023);(-122.81485748455495,38.55838324417856);(-122.81490309362708,38.558500472682994) l(r:p10udm16342-p10ulv16466);(-122.81485748455495,38.55838324417856);(-122.81489221716082,38.558266142717876) l(r:p10udm16345-p10ulv16219);(-122.81475418813407,38.558383080769964);(-122.81476531858466,38.55851827882318) l(r:p10udm16343-p10ulv15989);(-122.81458337020311,38.55786011261253);(-122.81478979967089,38.557923523592095) l(r:p10udm16343-p10udm16345);(-122.81463941433411,38.55838289909797);(-122.81452461737652,38.558391729306244);(-122.81452482580403,38.55831062137064);(-122.81452503423068,38.55822951343392);(-122.81452524265653,38.55814840549606);(-122.81452542792323,38.558076309550344);(-122.81452563634747,38.55799520161038);(-122.81454875307101,38.55793215402159);(-122.81458337020311,38.55786011261253) l(r:p10udm16342-p10udm16345);(-122.81475418813407,38.558383080769964) l(r:p10udm16342-p10udt4913lv);(-122.81485748455495,38.55838324417856) l(r:p10udm16347-p10ulv16235);(-122.81508705524828,38.55837459498933);(-122.81510966372169,38.55850981113998) l(r:p10udm16346-p10ulv16232);(-122.81520185211203,38.558365764229265);(-122.81525889299525,38.55850103469995) l(r:p10udm16346-p10ulv16500);(-122.81520185211203,38.558365764229265);(-122.81520210592625,38.558266632303585) l(r:p10udm16346-p10udm16347);(-122.81520185211203,38.558365764229265) l(r:p10udm16347-p10udt4913lv);(-122.81508705524828,38.55837459498933) l(r:p10udt4914lv-p10ulv16491);(-122.81537219159091,38.55907798329145);(-122.81541847031609,38.55893386381084) l(r:p10udm16353-p10ulv16129);(-122.81548696650096,38.55907816424776);(-122.81548666699224,38.55919532014532) l(r:p10udm16357-p10ulv16404);(-122.81560176443675,38.55906933309925);(-122.8156135872747,38.558934171291526) l(r:p10udm16356-p10ulv16372);(-122.81571653933455,38.55906951383046);(-122.81568178465842,38.55919562751408) l(r:p10udm16352-p10ulv16100);(-122.81581983674343,38.55906967639233);(-122.815831682169,38.55892550256894) l(r:p10udm16352-p10ulv16331);(-122.81581983674343,38.55906967639233);(-122.81581953777513,38.55918683229226) l(r:p10udm16352-p10udm16356);(-122.81581983674343,38.55906967639233) l(r:p10udm16356-p10udm16357);(-122.81571653933455,38.55906951383046) l(r:p10udm16353-p10udm16357);(-122.81560176443675,38.55906933309925) l(r:p10udm16353-p10udt4914lv);(-122.81548696650096,38.55907816424776) l(r:p10udm16354-p10ulv16275);(-122.81525741668189,38.5590778022226);(-122.81526924016596,38.55894264045147) l(r:p10udm16350-p10ulv15985);(-122.81514261869182,38.559086633033274);(-122.81518825174388,38.55919484942291) l(r:p10udm16350-p10ulv16060);(-122.81514261869182,38.559086633033274);(-122.81510853250967,38.55895139877525) l(r:p10udm16359-p10ulv16504);(-122.81477494577108,38.55923925635578);(-122.8146715092794,38.559293164820374) l(r:p10udm16350-p10udm16359);(-122.81502784377055,38.55908645173932);(-122.81492454634227,38.55908628847857);(-122.81480974829931,38.55909511896268);(-122.81472922077667,38.55916708777421);(-122.81477494577108,38.55923925635578) l(r:p10udm16350-p10udm16354);(-122.81514261869182,38.559086633033274) l(r:p10udm16354-p10udt4914lv);(-122.81525741668189,38.5590778022226) l(r:p10udm16360-p10ulv15990);(-122.81044179449646,38.55719560157707);(-122.81064840753082,38.55718692391202) l(r:p10udm16360-p10ulv16211);(-122.81044179449646,38.55719560157707);(-122.81034990595293,38.55722248884737) l(r:p10udm16365-p10ulv16278);(-122.81040757597647,38.55711443788728);(-122.81030423402865,38.557132294542) l(r:p10udm16365-p10ulv16529);(-122.81040757597647,38.55711443788728);(-122.81057992281035,38.557042620626554) l(r:p10udm16360-p10udm16365);(-122.81039668028575,38.557117270095695) l(r:p10udm16360-p10udt4915lv);(-122.81042929953718,38.55758309865791);(-122.8104410626092,38.557501014220364);(-122.81045265636534,38.55742993196138);(-122.81045294217253,38.55734782893693);(-122.81044167274062,38.55726869283853);(-122.81043040300057,38.55719670093624) l(r:p10udm16361-p10ulv15995);(-122.81041675709268,38.55798861969395);(-122.81022138209605,38.55808743546038) l(r:p10udm16361-p10ulv16186);(-122.81041675709268,38.55798861969395);(-122.81069216536942,38.558007089432444) l(r:p10udm16361-p10ulv16200);(-122.81041675709268,38.55798861969395);(-122.81021016543386,38.55798828495186) l(r:p10udm16361-p10ulv16390);(-122.81041675709268,38.55798861969395);(-122.810588514764,38.55814210221854) l(r:p10udm16361-p10ulv16437);(-122.81041675709268,38.55798861969395);(-122.81035884959324,38.55818679055083) l(r:p10udm16361-p10udt4915lv);(-122.81044027795178,38.557746328189275);(-122.81044013775043,38.55782644101943);(-122.81041697013329,38.55790751177182);(-122.81041675709268,38.55798861969395) l(r:p10udm16371-p10ulv15992);(-122.81398453013564,38.55864320919624);(-122.81377795981298,38.55863386875351) l(r:p10udm16372-p10ulv16144);(-122.81310072208282,38.55865982558448);(-122.81290567597675,38.55863247794278) l(r:p10udm16372-p10ulv16476);(-122.81310072208282,38.55865982558448);(-122.81334179458078,38.55864218615237) l(r:p10udm16371-p10udm16372);(-122.81310072208282,38.55865982558448) l(r:p10udm16371-p10udt4917lv);(-122.81398453013564,38.55864320919624) l(r:p10udm16374-p10ulv16231);(-122.81398411206051,38.55880542505209);(-122.8137890187188,38.55879610286465) l(r:p10udm16373-p10ulv16190);(-122.81399535725615,38.55889556320679);(-122.81385758123955,38.55891336827501) l(r:p10udm16373-p10ulv16503);(-122.81399535725615,38.55889556320679);(-122.81416747276572,38.55891386060638) l(r:p10udm16373-p10udm16374);(-122.81399535725615,38.55889556320679) l(r:p10udm16374-p10udt4917lv);(-122.81398411206051,38.55880542505209) l(r:p10udt4921lv-p10ulv16256);(-122.81537781355107,38.56136704695156);(-122.81537813631418,38.56124087910947) l(r:p10udm16394-p10ulv16000);(-122.81526303500164,38.56136686587923);(-122.8152397793579,38.56148398550128) l(r:p10udm16394-p10ulv16283);(-122.81526303500164,38.56136686587923);(-122.81529716872552,38.56148407606314) l(r:p10udm16394-p10udt4921lv);(-122.81526303500164,38.56136686587923) l(r:p10udm16397-p10ulv16264);(-122.81549261514178,38.56135821592267);(-122.81551527136243,38.56147540795312) l(r:p10udm16395-p10ulv16054);(-122.8157221722171,38.561358577504535);(-122.81568746246434,38.56146666716047) l(r:p10udm16395-p10udm16397);(-122.8156073936789,38.56135839676986);(-122.8157221722171,38.561358577504535) l(r:p10udm16397-p10udt4921lv);(-122.81549261514178,38.56135821592267) l(r:p10udm16399-p10ulv16004);(-122.80936651360435,38.56018584897539);(-122.80937746760344,38.56038413138362) l(r:p10udm16399-p10ulv16024);(-122.80936651360435,38.56018584897539);(-122.80924068806812,38.56002342753842) l(r:p10udm16399-p10ulv16234);(-122.80936651360435,38.56018584897539);(-122.80913669818028,38.56028460679866) l(r:p10udm16399-p10udt4922lv);(-122.80936651360435,38.56018584897539) l(r:p10udm16401-p10ulv16051);(-122.80957311154341,38.56018618522229);(-122.80957277864432,38.56031235304524) l(r:p10udm16402-p10ulv16090);(-122.80967643427977,38.560177341221525);(-122.8096767907614,38.560042161407196) l(r:p10udm16403-p10ulv16228);(-122.80989450986043,38.56017769556076);(-122.80989420126174,38.560294851398794) l(r:p10udm16402-p10udm16403);(-122.80979121090071,38.560177527766506);(-122.80989450986043,38.56017769556076) l(r:p10udm16401-p10udm16402);(-122.80967643427977,38.560177341221525) l(r:p10udm16401-p10udt4922lv);(-122.80957311154341,38.56018618522229) l(r:p10udt4923lv-p10ulv16487);(-122.81349931140728,38.55986807300991);(-122.8135563735529,38.55999433228053) l(r:p10udm16405-p10ulv16005);(-122.81339598956845,38.55987692038754);(-122.81340711769325,38.56001211852948) l(r:p10udm16415-p10ulv16482);(-122.81329266770467,38.55988576767389);(-122.81332740358056,38.55976866671582) l(r:p10udm16407-p10ulv16059);(-122.81317786819653,38.55989459655282);(-122.8131781481326,38.55978645267456) l(r:p10udm16407-p10ulv16108);(-122.81317786819653,38.55989459655282);(-122.81321192787314,38.56003884333274) l(r:p10udm16411-p10ulv16194);(-122.81306306866077,38.55990342531909);(-122.81302889261181,38.55980423844277) l(r:p10udm16411-p10ulv16349);(-122.81306306866077,38.55990342531909);(-122.81306269518088,38.5600476171525) l(r:p10udm16407-p10udm16411);(-122.81306306866077,38.55990342531909) l(r:p10udm16407-p10udm16415);(-122.81317786819653,38.55989459655282) l(r:p10udm16405-p10udm16415);(-122.81329266770467,38.55988576767389) l(r:p10udm16405-p10udt4923lv);(-122.81339598956845,38.55987692038754) l(r:p10udm16414-p10ulv16445);(-122.81359115560709,38.55985920726541);(-122.81354557110033,38.559732966290085) l(r:p10udm16409-p10ulv16117);(-122.81369447739733,38.55985035971536);(-122.81371778153141,38.55971521639191) l(r:p10udm16406-p10ulv16036);(-122.81399254693574,38.559986014104595);(-122.81388917850802,38.56001288589704) l(r:p10udm16413-p10ulv16356);(-122.81399212885854,38.560148229923215);(-122.81388880667716,38.56015707773453) l(r:p10udm16410-p10ulv16193);(-122.81400339749186,38.5602293560673);(-122.81419854113807,38.56022065392543) l(r:p10udm16410-p10udm16413);(-122.81400339749186,38.5602293560673) l(r:p10udm16406-p10udm16413);(-122.81399233789757,38.56006712201448);(-122.81399212885854,38.560148229923215) l(r:p10udm16406-p10udm16409);(-122.81378632155123,38.5598414938176);(-122.81388839890005,38.55982368720962);(-122.81398127835152,38.55990488795621);(-122.81399254693574,38.559986014104595) l(r:p10udm16409-p10udm16414);(-122.81369447739733,38.55985035971536) l(r:p10udm16414-p10udt4923lv);(-122.81359115560709,38.55985920726541) l(r:p10udm16420-p10ulv16076);(-122.8148166936419,38.56086148725309);(-122.81501170030579,38.56090685569557) l(r:p10udm16423-p10ulv16204);(-122.81487440615106,38.56073541017171);(-122.81475967487194,38.56071720462971) l(r:p10udm16424-p10ulv16467);(-122.8148975465716,38.560663350555615);(-122.81478283852933,38.56063613304689) l(r:p10udm16419-p10ulv16056);(-122.81490920921436,38.56059127278726);(-122.8151157622063,38.56060962323472) l(r:p10udm16419-p10udm16424);(-122.81490920921436,38.56059127278726) l(r:p10udm16423-p10udm16424);(-122.8148975465716,38.560663350555615) l(r:p10udm16420-p10udm16423);(-122.8148512888039,38.56079845779301);(-122.81487440615106,38.56073541017171) l(r:p10udm16420-p10udt4924lv);(-122.81477059750503,38.56093351053073);(-122.8148166936419,38.56086148725309) l(r:p10udm16422-p10ulv16148);(-122.81465547248222,38.561068508697055);(-122.81454083336529,38.56101425498548) l(r:p10udm16417-p10ulv16008);(-122.81490738329815,38.56130321992082);(-122.81481532929428,38.56139319458682) l(r:p10udm16417-p10ulv16077);(-122.81490738329815,38.56130321992082);(-122.81496509600544,38.561177142804745) l(r:p10udm16417-p10udm16422);(-122.81465519474705,38.56117665256065);(-122.81473542384376,38.561221839682524);(-122.81480415207619,38.56127602058054);(-122.81490738329815,38.56130321992082) l(r:p10udm16418-p10ulv16028);(-122.81451746085685,38.561176434411045);(-122.81439132068014,38.56113117435597) l(r:p10udm16425-p10ulv16497);(-122.81435633086177,38.561347407475395);(-122.81423014409275,38.56132017122379) l(r:p10udm16418-p10udm16425);(-122.81445993272206,38.56123041539801);(-122.81440240450114,38.56128439635597);(-122.81435633086177,38.561347407475395) l(r:p10udm16418-p10udm16422);(-122.81457498890556,38.5611224533951);(-122.81451746085685,38.561176434411045) l(r:p10udm16422-p10udt4924lv);(-122.81465547248222,38.561068508697055) l(r:p10udt4926lv-p10ulv16013);(-122.81315304644968,38.56061551905338);(-122.8131871297386,38.560750753835016) l(r:p10udt4926lv-p10ulv16079);(-122.81315304644968,38.56061551905338);(-122.81317628186382,38.56050741182511) l(r:p10udm16430-p10ulv16075);(-122.8129464005238,38.56063321309826);(-122.81298046008003,38.56077745992701) l(r:p10udm16430-p10ulv16109);(-122.8129464005238,38.56063321309826);(-122.81296958954393,38.560543129889474) l(r:p10udm16436-p10ulv16527);(-122.81275123223747,38.560650925137445);(-122.81280824693631,38.56079520873047) l(r:p10udm16436-p10ulv16553);(-122.81275123223747,38.560650925137445);(-122.81275148943854,38.56055179326438) l(r:p10udm16430-p10udm16436);(-122.81284307752341,38.56064205998377);(-122.81275123223747,38.560650925137445) l(r:p10udm16430-p10udt4926lv);(-122.81304972349923,38.56062436612144);(-122.8129464005238,38.56063321309826) l(r:p10udm16434-p10ulv16458);(-122.81335971558167,38.56058881265463);(-122.81339377594387,38.56073305936625) l(r:p10udm16434-p10ulv16493);(-122.81335971558167,38.56058881265463);(-122.8132910590328,38.560507594940006) l(r:p10udm16433-p10ulv16197);(-122.81346303844433,38.56057996531267);(-122.81341738381587,38.56048076026951) l(r:p10udm16433-p10udm16434);(-122.81346303844433,38.56057996531267) l(r:p10udm16434-p10udt4926lv);(-122.81325636937518,38.56060667189403);(-122.81335971558167,38.56058881265463) l(r:p10udt4931lv-p10ulv16027);(-122.8095176498252,38.55945612083023);(-122.80931095873441,38.559491832444394) l(r:p10udt4931lv-p10ulv16297);(-122.8095176498252,38.55945612083023);(-122.80929983836057,38.559356633920906) l(r:p10udt4931lv-p10ulv16363);(-122.8095176498252,38.55945612083023);(-122.80929936212146,38.559536873689886) l(r:p10udm16482-p10ulv16236);(-122.80963242529812,38.55945630752795);(-122.809677860192,38.55963662194538) l(r:p10udm16482-p10ulv16528);(-122.80963242529812,38.55945630752795);(-122.80958699062944,38.559275993087894) l(r:p10udm16483-p10ulv16243);(-122.80974722452814,38.55944748212447);(-122.80971310077398,38.55933027030694) l(r:p10udm16481-p10ulv16189);(-122.80986199998887,38.55944766859708);(-122.80988464647498,38.55956486173072) l(r:p10udm16481-p10udm16483);(-122.80986199998887,38.55944766859708) l(r:p10udm16482-p10udm16483);(-122.80974722452814,38.55944748212447) l(r:p10udm16482-p10udt4931lv);(-122.80963242529812,38.55945630752795) l(r:p10udt4933lv-p10ulv16472);(-122.8145209581793,38.559815623991945);(-122.81450917946827,38.55993276168269) l(r:p10udm16491-p10ulv16043);(-122.81441765971036,38.55981546028133);(-122.8144180073071,38.559680280421816) l(r:p10udm16491-p10udt4933lv);(-122.81441765971036,38.55981546028133) l(r:p10udm16492-p10ulv16058);(-122.81463575740284,38.55980679379508);(-122.81460164868626,38.5596805713985) l(r:p10udm16492-p10udt4933lv);(-122.81463575740284,38.55980679379508) l(r:p10udt4938lv-p10ulv16535);(-122.81566097024043,38.55835747604275);(-122.81568360279829,38.55848368009241) l(r:p10udm16516-p10ulv16116);(-122.8154313996658,38.55836612635777);(-122.8154195765841,38.55850128815961) l(r:p10udm16516-p10ulv16244);(-122.8154313996658,38.55836612635777);(-122.81538578981876,38.55824889805452) l(r:p10udm16518-p10ulv16304);(-122.8155461964753,38.558357295259874);(-122.81553501852058,38.558240121260674) l(r:p10udm16516-p10udm16518);(-122.8155461964753,38.558357295259874) l(r:p10udm16516-p10udt4938lv);(-122.81554617344422,38.55836630725323);(-122.8154313996658,38.55836612635777) l(r:p10udm16520-p10ulv16454);(-122.81577576700901,38.55834864471962);(-122.81577542197181,38.55848382462033) l(r:p10udm16520-p10ulv16456);(-122.81577576700901,38.55834864471962);(-122.81573013360503,38.55824042854248) l(r:p10udm16515-p10ulv16061);(-122.81589054076186,38.55834882527741);(-122.8159361285095,38.55847506537758) l(r:p10udm16515-p10ulv16373);(-122.81589054076186,38.55834882527741);(-122.81590229397612,38.55824069940342) l(r:p10udm16515-p10udm16520);(-122.81589054076186,38.55834882527741) l(r:p10udm16520-p10udt4938lv);(-122.81577576700901,38.55834864471962) l(r:p10udm16534-p10ulv16137);(-122.81261551165558,38.55987567370378);(-122.81268463463759,38.55977665202873) l(r:p10udm16534-p10ulv16184);(-122.81261551165558,38.55987567370378);(-122.81254620122726,38.560046791249974) l(r:p10udm16533-p10ulv16082);(-122.81276462714595,38.5599119604009);(-122.81275279880617,38.56004712188444) l(r:p10udm16533-p10ulv16471);(-122.81276462714595,38.5599119604009);(-122.8126954339779,38.56003801808881) l(r:p10udm16533-p10udm16534);(-122.81268433057248,38.55989380789366);(-122.81276462714595,38.5599119604009) l(r:p10udm16534-p10udt4942lv);(-122.81261551165558,38.55987567370378) l(r:p10udm16536-p10ulv16213);(-122.81244512728883,38.55919048676315);(-122.81247981737044,38.55909141002659) l(r:p10udm16537-p10ulv16371);(-122.81255992576332,38.5591816585991);(-122.81260548480118,38.55931691195527) l(r:p10udm16536-p10udm16537);(-122.81255880699744,38.55917268950475) l(r:p10udm16536-p10udt4942lv);(-122.81243614114865,38.5597740845706);(-122.81236619717632,38.559709910804024);(-122.81229705269708,38.55963724600803);(-122.81226168040311,38.559581740208046);(-122.81223824627855,38.559526450012335);(-122.81221485027045,38.55946949046714);(-122.81220356031412,38.55939737613576);(-122.81220372445904,38.55933429220517);(-122.81220391205261,38.55926219628365);(-122.81220409964548,38.55919010036122);(-122.81233037565327,38.5591812908337);(-122.81244515070777,38.559181474772664) l(r:p10udt4943lv-p10ulv16094);(-122.8124723007577,38.5619842583085);(-122.81242676372985,38.56183999297229) l(r:p10udt4944lv-p10ulv16095);(-122.81518099731596,38.55754563655689);(-122.81529600040427,38.55745569774911) l(r:p10udm16542-p10ulv16397);(-122.81527267690613,38.55759985344264);(-122.81520346727211,38.557734924673476) l(r:p10udm16542-p10ulv16545);(-122.81527267690613,38.55759985344264);(-122.81515774279548,38.557662756242) l(r:p10udm16542-p10udt4944lv);(-122.81527267690613,38.55759985344264) l(r:p10udm16543-p10ulv16490);(-122.81502056991283,38.55744625083645);(-122.81518152806133,38.5573383606815) l(r:p10udm16541-p10ulv16152);(-122.8147101294384,38.55766204863901);(-122.81488212662599,38.55772540495483) l(r:p10udm16541-p10udm16543);(-122.8148828200254,38.55745504512878);(-122.81482524889982,38.55752705032636);(-122.81476767765918,38.55759905549442);(-122.8147101294384,38.55766204863901) l(r:p10udm16543-p10udt4944lv);(-122.81510079510211,38.55749143772698);(-122.81502056991283,38.55744625083645) l(r:p10udt4945lv-p10ulv16096);(-122.81389140943742,38.55914773479728);(-122.81390256162067,38.55927392091996) l(r:p10udm16546-p10ulv16253);(-122.81377663442709,38.559147552276386);(-122.81376480811323,38.55928271388494) l(r:p10udm16546-p10ulv16279);(-122.81377663442709,38.559147552276386);(-122.81378834444004,38.55905745062045) l(r:p10udm16546-p10udt4945lv);(-122.81377663442709,38.559147552276386) l(r:p10udm16548-p10ulv16403);(-122.81399470694757,38.55914789896987);(-122.81402879113271,38.559283133542664) l(r:p10udm16548-p10udt4945lv);(-122.81399470694757,38.55914789896987) l(r:p10udt4946lv-p10ulv16138);(-122.80934736727706,38.55874389344099);(-122.80940442127027,38.55887015473882) l(r:p10udt4946lv-p10ulv16375);(-122.80934736727706,38.55874389344099);(-122.80934772435673,38.55860871359604) l(r:p10udm16549-p10ulv16102);(-122.8092440465544,38.55875273715867);(-122.80925521436944,38.55886991172232) l(r:p10udm16549-p10ulv16179);(-122.8092440465544,38.55875273715867);(-122.80925590505814,38.558608564026315) l(r:p10udm16552-p10ulv16177);(-122.80914074963805,38.558752568795654);(-122.80911815227762,38.558617351526344) l(r:p10udm16557-p10ulv16408);(-122.80902597528751,38.558752381618746);(-122.80906009765566,38.55886959364459) l(r:p10udm16555-p10ulv16361);(-122.80892267837295,38.55875221306331);(-122.80891153497507,38.558626026477505) l(r:p10udm16555-p10udm16557);(-122.80892267837295,38.55875221306331) l(r:p10udm16552-p10udm16557);(-122.80902597528751,38.558752381618746) l(r:p10udm16549-p10udm16552);(-122.80914074963805,38.558752568795654) l(r:p10udm16549-p10udt4946lv);(-122.8092440465544,38.55875273715867) l(r:p10udm16551-p10ulv16169);(-122.80968023662737,38.5587354230404);(-122.80966845024543,38.558852560246116) l(r:p10udm16551-p10ulv16521);(-122.80968023662737,38.5587354230404);(-122.80968059308353,38.55860024319266) l(r:p10udm16554-p10ulv16182);(-122.80978353352245,38.55873559092734);(-122.80977243612598,38.55859138043925) l(r:p10udm16554-p10ulv16507);(-122.80978353352245,38.55873559092734);(-122.80981765711398,38.55885280273583) l(r:p10udm16551-p10udm16554);(-122.80978353352245,38.55873559092734) l(r:p10udm16551-p10udt4946lv);(-122.80946214161621,38.55874408030277);(-122.80956543852237,38.55874424838216);(-122.80968023662737,38.5587354230404) l(r:p10udt4949lv-p10ulv16120);(-122.81397958269761,38.56056276320137);(-122.81403650689958,38.56074309416004) l(r:p10udt4949lv-p10ulv16316);(-122.81397958269761,38.56056276320137);(-122.81426657224056,38.56054519483055) l(r:p10udm16567-p10ulv16220);(-122.81399126946712,38.56048167353621);(-122.8142093460214,38.56048201983991) l(r:p10udm16567-p10ulv16547);(-122.81399126946712,38.56048167353621);(-122.81388801652774,38.56046348537733) l(r:p10udm16567-p10udt4949lv);(-122.81399126946712,38.56048167353621) l(r:p10udm16579-p10ulv16473);(-122.81597682210396,38.560520859693185);(-122.81596483880928,38.56071910543171) l(r:p10udm16575-p10ulv16131);(-122.81587352261712,38.56052069726471);(-122.81576978603654,38.560691762555045) l(r:p10udm16575-p10ulv16263);(-122.81587352261712,38.56052069726471);(-122.81571315669584,38.56039427655426) l(r:p10udm16575-p10udm16579);(-122.81587352261712,38.56052069726471) l(r:p10udm16579-p10udt4951lv);(-122.8160801215916,38.560521022030514);(-122.81597682210396,38.560520859693185) l(r:p10udm16582-p10ulv17636);(-122.81628694997276,38.5604312265271);(-122.81647059328208,38.5604315145767) l(r:p10udm16578-p10ulv16462);(-122.81628715643491,38.56035011861178);(-122.81616097067102,38.560322884439294) l(r:p10udm16578-p10ulv17468);(-122.81628715643491,38.56035011861178);(-122.81645925308771,38.560377424638105) l(r:p10udm16577-p10ulv16291);(-122.81628759229673,38.56017889078684);(-122.81616133796453,38.56017869258741) l(r:p10udm16577-p10ulv17462);(-122.81628759229673,38.56017889078684);(-122.81645968854163,38.56020619681231) l(r:p10udm16577-p10udm16578);(-122.81628738583633,38.56025999870455);(-122.81628759229673,38.56017889078684) l(r:p10udm16578-p10udm16582);(-122.81628715643491,38.56035011861178) l(r:p10udm16582-p10udt4951lv);(-122.81628672056941,38.56052134643169);(-122.81628694997276,38.5604312265271) l(r:p10udt4952lv-p10ulv16134);(-122.8122784414559,38.56149729830279);(-122.81211739929734,38.56163222031465) l(r:p10udt4952lv-p10ulv16255);(-122.8122784414559,38.56149729830279);(-122.8120262333887,38.561379737280234) l(r:p10udt4952lv-p10ulv16381);(-122.8122784414559,38.56149729830279);(-122.81208331760473,38.56149698524598) l(r:p10udt4952lv-p10ulv16430);(-122.8122784414559,38.56149729830279);(-122.81220997286789,38.561343984071705) l(r:p10udt4953lv-p10ulv16336);(-122.81223256422713,38.5570723190616);(-122.81228978602084,38.557135495044236) l(r:p10udt4953lv-p10ulv16362);(-122.81223256422713,38.5570723190616);(-122.81216402953919,38.55694604067989) l(r:p10udm16588-p10ulv16205);(-122.8120029973574,38.5570809626647);(-122.81206024242182,38.5571351267655) l(r:p10udm16588-p10ulv16294);(-122.8120029973574,38.5570809626647);(-122.8119803481927,38.556963769884256) l(r:p10udm16588-p10udt4953lv);(-122.8120029973574,38.5570809626647) l(r:p10udm16592-p10ulv16382);(-122.8124506304585,38.55707266861561);(-122.81239357253823,38.556946408753596) l(r:p10udm16592-p10ulv16434);(-122.8124506304585,38.55707266861561);(-122.81245048995922,38.55712674057788) l(r:p10udm16587-p10ulv16139);(-122.81268019725185,38.557064024134505);(-122.81269153410214,38.55711811446143) l(r:p10udm16587-p10ulv16448);(-122.81268019725185,38.557064024134505);(-122.81257725374168,38.556928678900455) l(r:p10udm16587-p10udm16592);(-122.81268019725185,38.557064024134505) l(r:p10udm16592-p10udt4953lv);(-122.8124506304585,38.55707266861561) l(r:p10udt4955lv-p10ulv16142);(-122.81541632685425,38.55977197904384);(-122.81543893637193,38.55990719510117) l(r:p10udm16597-p10ulv16436);(-122.81531300538119,38.55978082811447);(-122.81529037307405,38.5596546240218) l(r:p10udm16597-p10udt4955lv);(-122.81531300538119,38.55978082811447) l(r:p10udm16598-p10ulv16546);(-122.81550819373928,38.55975409980311);(-122.81550844714535,38.5596549678993) l(r:p10udm16598-p10udt4955lv);(-122.81550819373928,38.55975409980311) l(r:p10udm16599-p10ulv16149);(-122.81223984505633,38.55868548410906);(-122.81246951066578,38.55864079209148) l(r:p10udm16599-p10ulv16517);(-122.81223984505633,38.55868548410906);(-122.8124577990767,38.55873089361792) l(r:p10udm16600-p10ulv16160);(-122.81222792216124,38.5588566935296);(-122.81240010739242,38.55884795755361) l(r:p10udm16600-p10ulv16221);(-122.81222792216124,38.5588566935296);(-122.81205576035677,38.558856417261445) l(r:p10udm16599-p10udm16600);(-122.81223963405827,38.558766592028896);(-122.81222792216124,38.5588566935296) l(r:p10udm16599-p10udt4956lv);(-122.81223984505633,38.55868548410906) l(r:p10udm16601-p10ulv16180);(-122.81226380787625,38.55829800529018);(-122.81226411260661,38.55818084939605) l(r:p10udm16601-p10ulv16284);(-122.81226380787625,38.55829800529018);(-122.81242538096873,38.55795580715938) l(r:p10udm16601-p10ulv16526);(-122.81226380787625,38.55829800529018);(-122.81233353905253,38.557964671997944) l(r:p10udm16602-p10ulv16207);(-122.81206866920937,38.5583067042224);(-122.81205742650941,38.55821656587986) l(r:p10udm16605-p10ulv16308);(-122.81203386159704,38.55845084080721);(-122.81203355648996,38.55856799669436) l(r:p10udm16602-p10udm16605);(-122.81203386159704,38.55845084080721) l(r:p10udm16601-p10udm16602);(-122.81206866920937,38.5583067042224) l(r:p10udm16601-p10udt4956lv);(-122.81226380787625,38.55829800529018) l(r:p10udt4957lv-p10ulv16150);(-122.81334044278307,38.559164881652734);(-122.8133636308324,38.55907479834083) l(r:p10udt4957lv-p10ulv16388);(-122.81334044278307,38.559164881652734);(-122.81332861564957,38.559300043214755) l(r:p10udm16609-p10ulv16254);(-122.81323714525244,38.55916471690277);(-122.81323679545041,38.55929989676514) l(r:p10udm16609-p10udt4957lv);(-122.81323714525244,38.55916471690277) l(r:p10udm16611-p10ulv16531);(-122.81345524111092,38.5591560526103);(-122.81347784676475,38.55929126905094) l(r:p10udm16611-p10udt4957lv);(-122.81345524111092,38.5591560526103) l(r:p10udt4959lv-p10ulv16176);(-122.81616418440021,38.55906120561436);(-122.8161753634984,38.55917837953985) l(r:p10udt4959lv-p10ulv17426);(-122.81616418440021,38.55906120561436);(-122.81617602913661,38.558917031754206) l(r:p10udm16617-p10ulv16313);(-122.81604940951274,38.55906102532208);(-122.81601467839528,38.55917812711385) l(r:p10udm16617-p10ulv16357);(-122.81604940951274,38.55906102532208);(-122.81601532164235,38.55892579132328) l(r:p10udm16617-p10udt4959lv);(-122.81604940951274,38.55906102532208) l(r:p10udm16619-p10ulv17392);(-122.81639375710402,38.55905255386866);(-122.81640495949823,38.55916071578078) l(r:p10udm16619-p10ulv17583);(-122.81639375710402,38.55905255386866);(-122.81639410099012,38.55891737397643) l(r:p10udm16619-p10udt4959lv);(-122.81627898222882,38.55905237380148);(-122.81639375710402,38.55905255386866) l(r:p10udt4961lv-p10ulv16230);(-122.8124506304585,38.55707266861561);(-122.81246096027716,38.55751427467943) l(r:p10udm16628-p10ulv16239);(-122.81223256422713,38.5570723190616);(-122.81227730099361,38.55752299235151) l(r:p10udm16628-p10udt4961lv);(-122.81223256422713,38.5570723190616) l(r:p10udt4963lv-p10ulv17520);(-122.8162846329517,38.561341437499465);(-122.8163301773871,38.561485701365754) l(r:p10udt4963lv-p10ulv17763);(-122.8162846329517,38.561341437499465);(-122.81652557619063,38.56137786347458) l(r:p10udm16630-p10ulv16245);(-122.81606655377493,38.56134109505532);(-122.81608921092278,38.56145828697733) l(r:p10udm16631-p10ulv16443);(-122.81583697375355,38.56134974613778);(-122.81582602486752,38.56114245233279) l(r:p10udm16630-p10udm16631);(-122.81595175227943,38.56134992664732);(-122.81583697375355,38.56134974613778) l(r:p10udm16630-p10udt4963lv);(-122.81616985443715,38.56134125731635);(-122.81606655377493,38.56134109505532) l(r:p10udm16632-p10ulv17454);(-122.81628483942318,38.561260329596806);(-122.81649153218139,38.56122460568439) l(r:p10udm16632-p10udt4963lv);(-122.81628483942318,38.561260329596806) l(r:p10udt4964lv-p10ulv16442);(-122.81010492535675,38.558727100669365);(-122.81010461711561,38.55884425653794) l(r:p10udm16635-p10ulv16251);(-122.81000160474822,38.55873594505597);(-122.810001984326,38.55859175321557) l(r:p10udm16635-p10udt4964lv);(-122.81000160474822,38.55873594505597) l(r:p10udm16636-p10ulv16438);(-122.81032299656093,38.55872745419925);(-122.81036928513251,38.55858333673116) l(r:p10udm16636-p10udt4964lv);(-122.81021969967426,38.55872728678836);(-122.81032299656093,38.55872745419925) l(r:p10udt4966lv-p10ulv16268);(-122.81366966082753,38.56057128234395);(-122.8136807663009,38.56071549243415) l(r:p10udt4968lv-p10ulv16287);(-122.81492135716096,38.56032994323002);(-122.814806649639,38.560302725744386) l(r:p10udm16646-p10ulv16475);(-122.81492112605324,38.56042006312925);(-122.81480637213797,38.56041086962309) l(r:p10udm16646-p10ulv16480);(-122.81492112605324,38.56042006312925);(-122.81513917934922,38.560429419690266) l(r:p10udm16646-p10udt4968lv);(-122.81492112605324,38.56042006312925) l(r:p10udm16648-p10ulv16519);(-122.81493306594311,38.560239841474754);(-122.81513961793586,38.56025819187872) l(r:p10udm16648-p10udt4968lv);(-122.81493306594311,38.560239841474754) l(r:p10udt4974lv-p10ulv16317);(-122.81427547567371,38.561545543770244);(-122.81413764824403,38.56158137312288) l(r:p10udm16662-p10ulv16347);(-122.81311154611507,38.55891217966827);(-122.81328366143487,38.558930478366754) l(r:p10udm16661-p10ulv16346);(-122.81311175613442,38.558831071746425);(-122.81297402673256,38.55883085179211) l(r:p10udm16661-p10ulv16348);(-122.81311175613442,38.558831071746425);(-122.81291666284201,38.55882174810536) l(r:p10udm16661-p10ulv16468);(-122.81311175613442,38.558831071746425);(-122.81331839685927,38.5588133773911) l(r:p10udm16661-p10udm16662);(-122.81311175613442,38.558831071746425) l(r:p10udm16662-p10udt4976lv);(-122.81311154611507,38.55891217966827) l(r:p10udm16664-p10ulv16410);(-122.81277802174912,38.55917299556864);(-122.81277825552255,38.559082875661154) l(r:p10udm16664-p10ulv16421);(-122.81277802174912,38.55917299556864);(-122.81275471603537,38.5593081387136) l(r:p10udm16664-p10udt4976lv);(-122.81312258022847,38.55908342582196);(-122.81312237021939,38.55916453374036);(-122.81300869066035,38.55918233154643);(-122.81289277343032,38.55918219105943);(-122.81277799837174,38.55918200755931) l(r:p10udt4978lv-p10ulv17386);(-122.81617391742704,38.55974613503382);(-122.81618580816637,38.55958393720629) l(r:p10udm16668-p10ulv16377);(-122.8159558430721,38.55974579239528);(-122.81597909698291,38.55962867259185) l(r:p10udm16668-p10ulv16464);(-122.8159558430721,38.55974579239528);(-122.81592168610322,38.559637594359515) l(r:p10udm16672-p10ulv16536);(-122.81584104410192,38.55975462388741);(-122.81582924454628,38.55988077370871) l(r:p10udm16670-p10ulv16395);(-122.81573774571258,38.55975446134184);(-122.81572656723958,38.55963728738766) l(r:p10udm16670-p10udm16672);(-122.81573774571258,38.55975446134184) l(r:p10udm16668-p10udm16672);(-122.81584104410192,38.55975462388741) l(r:p10udm16668-p10udt4978lv);(-122.81607061904792,38.55974597278199);(-122.8159558430721,38.55974579239528) l(r:p10udm16674-p10ulv17423);(-122.81628869340481,38.55974631520671);(-122.81640385911005,38.55959329140712) l(r:p10udm16675-p10ulv17629);(-122.81628848694882,38.559827423130436);(-122.81650646990494,38.559863813116095) l(r:p10udm16676-p10ulv17771);(-122.81628825755233,38.55991754304435);(-122.81646046776575,38.559899789111505) l(r:p10udm16669-p10ulv16379);(-122.81628802815483,38.56000766295688);(-122.81611584060383,38.5600164046439) l(r:p10udm16669-p10udm16676);(-122.81628802815483,38.56000766295688) l(r:p10udm16675-p10udm16676);(-122.81628825755233,38.55991754304435) l(r:p10udm16674-p10udm16675);(-122.81628848694882,38.559827423130436) l(r:p10udm16674-p10udt4978lv);(-122.81628869340481,38.55974631520671) l(r:p10udt4979lv-p10ulv16378);(-122.81623488497166,38.55834035428188);(-122.81623529798128,38.5581781383925) l(r:p10udt4979lv-p10ulv17544);(-122.81623488497166,38.55834035428188);(-122.81643038998531,38.55818745650082) l(r:p10udt4979lv-p10ulv17546);(-122.81623488497166,38.55834035428188);(-122.81651006702684,38.55844893027657) l(r:p10udt4979lv-p10ulv17634);(-122.81623488497166,38.55834035428188);(-122.81646473037043,38.558223558463446) l(r:p10udt4979lv-p10ulv20840);(-122.81623488497166,38.55834035428188);(-122.81646411162723,38.558466882297076) l(r:p10udm16678-p10ulv17471);(-122.81612011123005,38.55834017406174);(-122.81613112944277,38.558520431959586) l(r:p10udm16678-p10udt4979lv);(-122.81612011123005,38.55834017406174) l(r:p10udt4987lv-p10ulv17743);(-122.81628610117521,38.560764670167124);(-122.81646972241523,38.560773970210235) l(r:p10udm16703-p10ulv16433);(-122.81628630764077,38.56068356225644);(-122.81616002946939,38.56069237604353) l(r:p10udm16705-p10ulv17409);(-122.81628651410551,38.56060245434463);(-122.81644724822304,38.56058468242459) l(r:p10udm16703-p10udm16705);(-122.81628651410551,38.56060245434463) l(r:p10udm16703-p10udt4987lv);(-122.81628630764077,38.56068356225644) l(r:p10udm16704-p10ulv16537);(-122.81628568824159,38.560926885985104);(-122.81615945555748,38.5609176757907) l(r:p10udm16704-p10ulv17731);(-122.81628568824159,38.560926885985104);(-122.81646937864797,38.56090915006005) l(r:p10udm16704-p10udt4987lv);(-122.81628589470883,38.560845778076676);(-122.81628568824159,38.560926885985104) l(r:p10udt4991lv-p10ulv16515);(-122.81628527530465,38.56108910179858);(-122.81612460890751,38.561079837523536) l(r:p10udm16876-p10ulv16696);(-122.83348796816023,38.562223313864955);(-122.83349923823913,38.56231345015054) l(r:p10udm16876-p10udt5013lv);(-122.83348796816023,38.562223313864955) l(r:p10udm16877-p10ulv16720);(-122.83318822773174,38.56279064471653);(-122.83336037830846,38.56279990192299) l(r:p10udm16877-p10udt5013lv);(-122.83330427849087,38.562241076492434);(-122.83321243362268,38.562249957698);(-122.83320078897925,38.562322037310736);(-122.83320060151192,38.56240314527207);(-122.83318893600014,38.56248423687717);(-122.83318876934972,38.56255633284081);(-122.83317710379927,38.562637424442514);(-122.83317693713612,38.56270952040424);(-122.83318822773174,38.56279064471653) l(r:p10udm16878-p10ulv16837);(-122.83317887455848,38.56187140479428);(-122.83331667235781,38.561844565001095) l(r:p10udm16879-p10ulv16909);(-122.83316760494684,38.561781268472075);(-122.83354650141882,38.56172773567691) l(r:p10udm16878-p10udm16879);(-122.83316760494684,38.561781268472075) l(r:p10udm16878-p10udt5013lv);(-122.83330568150642,38.56225002092387);(-122.83320114308222,38.56216883338067);(-122.83320130971796,38.56209673741218);(-122.83318999838258,38.56202462508796);(-122.83319016502861,38.56195252911774);(-122.83317887455848,38.56187140479428) l(r:p10udt5016lv-p10ulv16826);(-122.83312544411368,38.560159043425514);(-122.8338485583293,38.560151059941234) l(r:p10udt5016lv-p10ulv16872);(-122.83312544411368,38.560159043425514);(-122.83389438605542,38.560187173090604) l(r:p10udm16901-p10ulv16861);(-122.8331250273626,38.56033928340417);(-122.83376782023042,38.560322173868066) l(r:p10udm16904-p10ulv16880);(-122.83312481898564,38.56042940339141);(-122.83342323962573,38.56042982840334) l(r:p10udm16896-p10ulv16821);(-122.83312442306685,38.56060063136333);(-122.83336539327018,38.5606280106988) l(r:p10udm16896-p10ulv16844);(-122.83312442306685,38.56060063136333);(-122.83365239930238,38.56060138279443) l(r:p10udm16894-p10ulv16699);(-122.83312421468722,38.560690751346534);(-122.83304391207493,38.56067261279276) l(r:p10udm16895-p10ulv16725);(-122.83312400630663,38.56078087132835);(-122.83305516051729,38.560771761141325) l(r:p10udm16894-p10udm16895);(-122.83312400630663,38.56078087132835) l(r:p10udm16894-p10udm16896);(-122.83312421468722,38.560690751346534) l(r:p10udm16896-p10udm16904);(-122.83312463144557,38.56051051137874);(-122.83312442306685,38.56060063136333) l(r:p10udm16901-p10udm16904);(-122.83312481898564,38.56042940339141) l(r:p10udm16901-p10udt5016lv);(-122.8331252357386,38.56024916341553);(-122.8331250273626,38.56033928340417) l(r:p10udm16907-p10ulv16905);(-122.83312586086107,38.559978803441275);(-122.83396381191201,38.559943946795364) l(r:p10udm16906-p10ulv16898);(-122.83311500837303,38.55970842709311);(-122.8338152043739,38.559682387077984) l(r:p10udm16899-p10ulv16847);(-122.83311521675684,38.55961830709479);(-122.83301196016937,38.559600135792415) l(r:p10udm16899-p10ulv16853);(-122.83311521675684,38.55961830709479);(-122.83332185496398,38.55960057742657) l(r:p10udm16899-p10ulv16891);(-122.83311521675684,38.55961830709479);(-122.83359729623118,38.55960998130174) l(r:p10udm16903-p10ulv16878);(-122.8331154043015,38.5595371990951);(-122.83302360455221,38.55952805616431) l(r:p10udm16899-p10udm16903);(-122.8331154043015,38.5595371990951) l(r:p10udm16899-p10udm16906);(-122.83311521675684,38.55961830709479) l(r:p10udm16906-p10udm16907);(-122.83312606923336,38.55988868344709);(-122.83312627760473,38.55979856345149);(-122.83311500837303,38.55970842709311) l(r:p10udm16907-p10udt5016lv);(-122.83312565248785,38.560068923434095);(-122.83312586086107,38.559978803441275) l(r:p10udm16914-p10ulv16711);(-122.83342172116602,38.56108770427797);(-122.83351321110348,38.56123202686416) l(r:p10udm16914-p10udt5020lv);(-122.83313450474547,38.56120445158535);(-122.83313465060502,38.56114136760277);(-122.83322651489677,38.56112347445576);(-122.8333298569677,38.56110559757848);(-122.83342172116602,38.56108770427797) l(r:p10udm16916-p10ulv16721);(-122.83314546169075,38.56142976787769);(-122.83297327269567,38.56143853435453) l(r:p10udm16917-p10ulv16740);(-122.8331452533312,38.56151988784819);(-122.83334039827837,38.561511153802144) l(r:p10udm16915-p10ulv16719);(-122.83315652287529,38.56161002417643);(-122.83304170213185,38.561627884528185) l(r:p10udm16915-p10udm16917);(-122.83315652287529,38.56161002417643) l(r:p10udm16916-p10udm16917);(-122.8331452533312,38.56151988784819) l(r:p10udm16916-p10udt5020lv);(-122.83313419218779,38.56133963154572);(-122.83314546169075,38.56142976787769) l(r:p10udm16918-p10ulv16761);(-122.83312463144557,38.56051051137874);(-122.8328949725208,38.560555243919836) l(r:p10udm16918-p10udt5020lv);(-122.83312302690541,38.56120443522423);(-122.83312317277499,38.56114135124167);(-122.8331233811593,38.56105123126544);(-122.83312358954268,38.5609611112878);(-122.83312379792511,38.56087099130876);(-122.83311252853392,38.56078085496634);(-122.83311273692885,38.56069073498459);(-122.83311294532284,38.56060061500142);(-122.83311315371589,38.560510495016885) l(r:p10udt5026lv-p10ulv16729);(-122.8328161732048,38.559888241291894);(-122.83279286300368,38.56004141249373) l(r:p10udm16937-p10ulv16763);(-122.83312544411368,38.560159043425514);(-122.8329533207255,38.56014077388463) l(r:p10udm16936-p10ulv16745);(-122.8331252357386,38.56024916341553);(-122.83294153014803,38.56027593748979) l(r:p10udm16936-p10udm16937);(-122.83313671342677,38.56024917977612) l(r:p10udm16937-p10udt5026lv);(-122.83291947188023,38.559888388768094);(-122.83302277055643,38.55988853615316);(-122.83312609007055,38.55987967144758);(-122.8331373385062,38.5599788198017);(-122.83313713014734,38.560068939794554);(-122.83312544411368,38.560159043425514) l(r:p10udm16939-p10ulv16835);(-122.8327128745301,38.55988809372451);(-122.83269021175127,38.55976189292795) l(r:p10udm16938-p10ulv16831);(-122.8326095758562,38.559887946066);(-122.83254094008646,38.55978871558406) l(r:p10udm16938-p10udm16939);(-122.8326095758562,38.559887946066) l(r:p10udm16939-p10udt5026lv);(-122.8327128745301,38.55988809372451) l(r:p10udm16987-p10ulv16822);(-122.83198445308248,38.55724652543403);(-122.83211135221009,38.55696733445414) l(r:p10udm16987-p10ulv18064);(-122.83198445308248,38.55724652543403);(-122.83198432721582,38.55730059745204) l(r:p10udm16983-p10ulv16806);(-122.8320762288301,38.55726468117944);(-122.83220243627177,38.55728288620967) l(r:p10udm16983-p10ulv16813);(-122.8320762288301,38.55726468117944);(-122.83217998471959,38.55706656522847) l(r:p10udm16983-p10ulv16917);(-122.8320762288301,38.55726468117944);(-122.8324210055329,38.557066910486554) l(r:p10udm16983-p10udm16987);(-122.8320762288301,38.55726468117944) l(r:p10udm16987-p10udt5044lv);(-122.83198445308248,38.55724652543403) l(r:p10udm16991-p10ulv18016);(-122.83169764891703,38.55719204126696);(-122.83169743878135,38.55728216129621) l(r:p10udm16989-p10ulv16883);(-122.83169802715885,38.557029825210826);(-122.83182436007868,38.55699395862845) l(r:p10udm16984-p10ulv16809);(-122.83168676013088,38.556939688677254);(-122.83181307189943,38.55691283410963) l(r:p10udm16984-p10ulv16842);(-122.83168676013088,38.556939688677254);(-122.83153751497171,38.556957498075974) l(r:p10udm16986-p10ulv16819);(-122.8316758714176,38.556687336075804);(-122.83157264041621,38.55666015150137) l(r:p10udm16984-p10udm16986);(-122.83168694926269,38.556858580646285);(-122.83167566125854,38.55677745611275);(-122.8316758714176,38.556687336075804) l(r:p10udm16984-p10udm16989);(-122.83168676013088,38.556939688677254) l(r:p10udm16989-p10udm16991);(-122.83169783803834,38.55711093323945);(-122.83169802715885,38.557029825210826) l(r:p10udm16991-p10udt5044lv);(-122.83178942452244,38.557210197237055);(-122.83169764891703,38.55719204126696) l(r:p10udm16993-p10ulv16807);(-122.83312627760473,38.55979856345149);(-122.83333285389162,38.55980786976463) l(r:p10udm16993-p10udt5045lv);(-122.83311561268356,38.55944707909413);(-122.83312688187641,38.559537215456395);(-122.83312669434464,38.559618323456114);(-122.83312648597516,38.5597084434545);(-122.83313770033321,38.559797683003126) l(r:p10udm16994-p10ulv16871);(-122.83311623782414,38.559176719082856);(-122.83300144179653,38.55918556741074) l(r:p10udm16994-p10ulv16879);(-122.83311623782414,38.559176719082856);(-122.83339167744539,38.55918612343879) l(r:p10udm16994-p10udt5045lv);(-122.83311602944487,38.55926683908802);(-122.83311623782414,38.559176719082856) l(r:p10udt5046lv-p10ulv16881);(-122.83229067327652,38.55882407010963);(-122.83223292985647,38.55897719189357) l(r:p10udm16996-p10ulv16808);(-122.8323939704261,38.55882421804612);(-122.83241650684775,38.55900449092187) l(r:p10udm16996-p10udt5046lv);(-122.8323939704261,38.55882421804612) l(r:p10udm16997-p10ulv16811);(-122.83217589866676,38.55882390562885);(-122.83219918882209,38.55867974652092) l(r:p10udm16997-p10udt5046lv);(-122.83217589866676,38.55882390562885) l(r:p10udt5047lv-p10ulv16810);(-122.83367165774017,38.56220555094896);(-122.83400445754498,38.56223305940405) l(r:p10udt5047lv-p10ulv16833);(-122.83367165774017,38.56220555094896);(-122.83396933932069,38.562530406441) l(r:p10udt5047lv-p10ulv16839);(-122.83367165774017,38.56220555094896);(-122.83423412113306,38.56218832470963) l(r:p10udt5047lv-p10ulv16855);(-122.83367165774017,38.56220555094896);(-122.83370563487429,38.562403863781256) l(r:p10udt5047lv-p10ulv16857);(-122.83367165774017,38.56220555094896);(-122.83401608064355,38.56216999170485) l(r:p10udt5047lv-p10ulv16859);(-122.83367165774017,38.56220555094896);(-122.83374100326557,38.561998372862085) l(r:p10udt5047lv-p10ulv16863);(-122.83367165774017,38.56220555094896);(-122.83375287568066,38.56182716122651) l(r:p10udt5048lv-p10ulv16868);(-122.83726370651634,38.557371139536244);(-122.83740141300986,38.557380342913184) l(r:p10udm17007-p10ulv16825);(-122.8372642347994,38.55713682739498);(-122.83663290746571,38.55717199623041) l(r:p10udm17006-p10ulv16812);(-122.83726439734681,38.55706473134961);(-122.83712663046657,38.55708256382936) l(r:p10udm17014-p10ulv16865);(-122.83724178843856,38.55691149533975);(-122.83675964583,38.556955884208065) l(r:p10udm17014-p10ulv16888);(-122.83724178843856,38.55691149533975);(-122.83709258536241,38.55691128781178) l(r:p10udm17010-p10ulv16840);(-122.83723047385878,38.55683938333479);(-122.83709280909888,38.5568121557462) l(r:p10udm17010-p10udm17014);(-122.83723047385878,38.55683938333479) l(r:p10udm17006-p10udm17014);(-122.83725310304071,38.55698360734279);(-122.83724178843856,38.55691149533975) l(r:p10udm17019-p10ulv16897);(-122.83805630285462,38.55707484151784);(-122.8380681035291,38.55693066529495) l(r:p10udm17006-p10udm17019);(-122.83737916918868,38.55706489083548);(-122.83749394103145,38.557065050208834);(-122.83760869259973,38.55707422147553);(-122.83772346445866,38.55707438062388);(-122.83783823631846,38.55707453965972);(-122.83795300817921,38.557074698583044);(-122.83805630285462,38.55707484151784) l(r:p10udm17006-p10udm17007);(-122.83726439734681,38.55706473134961) l(r:p10udm17007-p10udt5048lv);(-122.83726388938426,38.55729003148841);(-122.8372640519329,38.55721793544493);(-122.8372642347994,38.55713682739498) l(r:p10udm17008-p10ulv16836);(-122.83726336109719,38.557524343623534);(-122.83707972498276,38.557524088207934) l(r:p10udm17008-p10ulv16838);(-122.83726336109719,38.557524343623534);(-122.83698686889915,38.55798357262357) l(r:p10udm17008-p10ulv16851);(-122.83726336109719,38.557524343623534);(-122.8374237179174,38.55766875895552) l(r:p10udm17008-p10ulv16854);(-122.83726336109719,38.557524343623534);(-122.83774481840346,38.55778636086481) l(r:p10udm17008-p10ulv16862);(-122.83726336109719,38.557524343623534);(-122.83693050028108,38.557532892475514) l(r:p10udm17008-p10ulv16882);(-122.83726336109719,38.557524343623534);(-122.83686133119464,38.55766797660338) l(r:p10udm17008-p10ulv16890);(-122.83726336109719,38.557524343623534);(-122.83777973640949,38.55757012046163) l(r:p10udm17008-p10udt5048lv);(-122.83726354396649,38.55744323557783);(-122.83726336109719,38.557524343623534) l(r:p10udt5050lv-p10ulv16815);(-122.83370881206783,38.56102502824976);(-122.83400717299563,38.56105248777283) l(r:p10udt5050lv-p10ulv16841);(-122.83370881206783,38.56102502824976);(-122.8337781770964,38.56080883809785) l(r:p10udt5050lv-p10ulv16843);(-122.83370881206783,38.56102502824976);(-122.83376570293036,38.56124139770535) l(r:p10udt5050lv-p10ulv16889);(-122.83370881206783,38.56102502824976);(-122.83401879589793,38.560989420060174) l(r:p10udt5050lv-p10ulv16900);(-122.83370881206783,38.56102502824976);(-122.83417838773292,38.56146728367183) l(r:p10udt5051lv-p10ulv16912);(-122.83314204716879,38.55794210759174);(-122.83347486869744,38.55795159352263) l(r:p10udm17030-p10ulv16817);(-122.83315394113428,38.55776188389692);(-122.83252271096512,38.55775197053341) l(r:p10udm17030-p10ulv16916);(-122.83315394113428,38.55776188389692);(-122.83332614214589,38.557744105126154) l(r:p10udm17032-p10ulv16829);(-122.83315435777479,38.557581643838425);(-122.83299363437624,38.557599438731444) l(r:p10udm17032-p10ulv16876);(-122.83315435777479,38.557581643838425);(-122.83272969901459,38.55758103784689) l(r:p10udm17030-p10udm17032);(-122.83315414945503,38.55767176386837);(-122.83315435777479,38.557581643838425) l(r:p10udm17030-p10udt5051lv);(-122.8331422555057,38.557851987566025);(-122.83315394113428,38.55776188389692) l(r:p10udm17036-p10ulv16907);(-122.83314185966476,38.558023215613716);(-122.83262544233143,38.557995442325726) l(r:p10udm17029-p10ulv16816);(-122.8331416513261,38.55811333563677);(-122.83331374911735,38.558140616898605) l(r:p10udm17029-p10ulv16902);(-122.8331416513261,38.55811333563677);(-122.83285467587699,38.55813095032011) l(r:p10udm17035-p10ulv16903);(-122.83314144298649,38.55820345565846);(-122.8329806764914,38.558239274532674) l(r:p10udm17031-p10ulv16828);(-122.83312954891298,38.55838367933814);(-122.83331316636519,38.55839295295682) l(r:p10udm17031-p10udm17035);(-122.83312975726878,38.55829355931931);(-122.83312954891298,38.55838367933814) l(r:p10udm17029-p10udm17035);(-122.83314144298649,38.55820345565846) l(r:p10udm17029-p10udm17036);(-122.8331416513261,38.55811333563677) l(r:p10udm17036-p10udt5051lv);(-122.83314185966476,38.558023215613716) l(r:p10udm17040-p10ulv16887);(-122.83171692631109,38.558769174577314);(-122.83175163177016,38.55865206805953) l(r:p10udm17039-p10ulv16820);(-122.83187754766263,38.55879644145047);(-122.83183117587897,38.55899463951906) l(r:p10udm17039-p10udm17040);(-122.83179722647046,38.558787314041695);(-122.83187754766263,38.55879644145047) l(r:p10udm17040-p10udt5052lv);(-122.83171692631109,38.558769174577314) l(r:p10udm17041-p10ulv18028);(-122.83133825463996,38.55873258150639);(-122.83133859159159,38.55858838949743) l(r:p10udm17041-p10udt5052lv);(-122.83153332915143,38.55875088645336);(-122.83143005315948,38.55874172575723);(-122.83133825463996,38.55873258150639) l(r:p10udt5053lv-p10ulv16869);(-122.83316664742117,38.55723019206489);(-122.83285672106861,38.55724777404957) l(r:p10udm17042-p10ulv16824);(-122.83315496189691,38.55732029574372);(-122.83335003306452,38.5573385976603) l(r:p10udm17042-p10ulv16915);(-122.83315496189691,38.55732029574372);(-122.83300577885672,38.55731107100187) l(r:p10udm17045-p10ulv16856);(-122.83315456609367,38.55749152380709);(-122.83390060807805,38.55748357264982) l(r:p10udm17048-p10ulv16914);(-122.83315435777479,38.557581643838425);(-122.83401517332254,38.55757385547034) l(r:p10udm17045-p10udm17048);(-122.83316583503877,38.55758166019519) l(r:p10udm17042-p10udm17045);(-122.83315477441158,38.55740140377436);(-122.83315456609367,38.55749152380709) l(r:p10udm17042-p10udt5053lv);(-122.83315496189691,38.55732029574372) l(r:p10udm17047-p10ulv16894);(-122.83316685572204,38.557140072028076);(-122.83333895120371,38.55716735325219) l(r:p10udm17044-p10ulv16852);(-122.83316706402202,38.557049951989875);(-122.83301779814448,38.55707677527952) l(r:p10udm17043-p10ulv16834);(-122.83316727232105,38.556959831950266);(-122.83301802747859,38.55697764323708) l(r:p10udm17043-p10udm17044);(-122.83316727232105,38.556959831950266) l(r:p10udm17044-p10udm17047);(-122.83316706402202,38.557049951989875) l(r:p10udm17047-p10udt5053lv);(-122.83316685572204,38.557140072028076) l(r:p10udt5055lv-p10ulv16901);(-122.83312894467588,38.55864502738492);(-122.83395521621534,38.558691262411664) l(r:p10udm17051-p10ulv16830);(-122.83311725886907,38.55873513103753);(-122.83331227141018,38.558780469025) l(r:p10udm17051-p10ulv16884);(-122.83311725886907,38.55873513103753);(-122.83357635677108,38.55873578459254) l(r:p10udm17060-p10ulv16911);(-122.83311684211874,38.55891537106007);(-122.8335874602013,38.55889801693276) l(r:p10udm17053-p10ulv16873);(-122.83311663374218,38.55900549106926);(-122.83331177189292,38.55899675705185) l(r:p10udm17053-p10udm17060);(-122.83311663374218,38.55900549106926) l(r:p10udm17054-p10ulv16874);(-122.8327038618794,38.55882466130871);(-122.83268117855157,38.55870747248936) l(r:p10udm17054-p10udm17060);(-122.83311705049437,38.55882525104949);(-122.83301375333949,38.558825103751005);(-122.83291045618537,38.55882495636139);(-122.832807159032,38.55882480888064);(-122.8327038618794,38.55882466130871) l(r:p10udm17051-p10udm17060);(-122.83312852795606,38.558825267410356);(-122.83311684211874,38.55891537106007) l(r:p10udm17051-p10udt5055lv);(-122.83311725886907,38.55873513103753) l(r:p10udm17056-p10ulv16886);(-122.83312913219858,38.55856391937166);(-122.83301429544711,38.558590791718224) l(r:p10udm17052-p10ulv16846);(-122.83312934055624,38.5584737993556);(-122.8342311301609,38.55849338874473) l(r:p10udm17052-p10ulv16910);(-122.83312934055624,38.5584737993556);(-122.83387526824083,38.558519920395156) l(r:p10udm17057-p10ulv16896);(-122.83314144298649,38.55820345565846);(-122.83388753381271,38.55817748059738) l(r:p10udm17052-p10udm17057);(-122.8331180715215,38.55838366297753);(-122.83311827989164,38.558293542958744);(-122.83313002465684,38.55820254265254) l(r:p10udm17052-p10udm17056);(-122.83312934055624,38.5584737993556) l(r:p10udm17056-p10udt5055lv);(-122.83312913219858,38.55856391937166) l(r:p10udt5056lv-p10ulv16845);(-122.83472919859697,38.556511445685324);(-122.8347052538028,38.55694398952232) l(r:p10udt5056lv-p10ulv16904);(-122.83472919859697,38.556511445685324);(-122.83476284592638,38.55685395048504) l(r:p10udm17061-p10ulv16832);(-122.83484396954452,38.55651160765468);(-122.83484201058553,38.55736774811321) l(r:p10udm17065-p10ulv19867);(-122.8349472633981,38.55651175333089);(-122.83490158176127,38.55641255653567) l(r:p10udm17061-p10udm17065);(-122.8349472633981,38.55651175333089) l(r:p10udm17061-p10udt5056lv);(-122.83484396954452,38.55651160765468) l(r:p10udm17064-p10ulv19828);(-122.83451113379918,38.55651113763355);(-122.83445399635279,38.55640291243504) l(r:p10udm17064-p10udt5056lv);(-122.83461442765035,38.55651128360344);(-122.83451113379918,38.55651113763355) l(r:p10udt5057lv-p10ulv16849) l(r:p10udt5058lv-p10ulv19831);(-122.83641627034676,38.556540851323604);(-122.83646195414788,38.55664004753039) l(r:p10udm17067-p10ulv16858);(-122.8365310413508,38.55654101163912);(-122.83651891109359,38.556829379803915) l(r:p10udm17072-p10ulv20026);(-122.83664581235571,38.55654117184214);(-122.8366914964728,38.556640367960036) l(r:p10udm17072-p10ulv20032);(-122.83664581235571,38.55654117184214);(-122.83664675052712,38.5561266195396) l(r:p10udm17071-p10ulv19967);(-122.83676056298066,38.55655034393893);(-122.83678388398828,38.5563881598278) l(r:p10udm17071-p10udm17072);(-122.83676056298066,38.55655034393893) l(r:p10udm17067-p10udm17072);(-122.83664581235571,38.55654117184214) l(r:p10udm17067-p10udt5058lv);(-122.8365310413508,38.55654101163912) l(r:p10udm17073-p10ulv20027);(-122.83631297644393,38.55654070694344);(-122.83626745644928,38.556369414627035) l(r:p10udm17069-p10ulv19949);(-122.83608345490573,38.5565313737663);(-122.83606107381753,38.556279005450754) l(r:p10udm17070-p10ulv19963);(-122.83575061904848,38.556530907268886);(-122.83571670053294,38.55630555880583) l(r:p10udm17069-p10udm17070);(-122.83596868391962,38.556531213012015);(-122.83586539003288,38.55653106823694);(-122.83575061904848,38.556530907268886) l(r:p10udm17069-p10udm17073);(-122.83619820544165,38.55654054641413);(-122.83608345490573,38.5565313737663) l(r:p10udm17073-p10udt5058lv);(-122.83631297644393,38.55654070694344) l(r:p10udm17075-p10ulv16864);(-122.83202423769303,38.55987809561371);(-122.8320015761219,38.55975189468637) l(r:p10udm17077-p10ulv16875);(-122.83192085508635,38.55991399534328);(-122.83182943299593,38.55974263549374) l(r:p10udm17075-p10udm17077);(-122.83192085508635,38.55991399534328) l(r:p10udm17075-p10udt5059lv);(-122.83202423769303,38.55987809561371) l(r:p10udm17078-p10ulv16895);(-122.83217342590797,38.55988732161404);(-122.8322081102195,38.55977922698213) l(r:p10udm17078-p10ulv17755);(-122.83217342590797,38.55988732161404);(-122.83217309060859,38.56003151359712) l(r:p10udm17076-p10ulv16870);(-122.83225374837235,38.55989644876584);(-122.8323807767363,38.55956318563128) l(r:p10udm17076-p10udm17078);(-122.83225374837235,38.55989644876584) l(r:p10udm17078-p10udt5059lv);(-122.83217342590797,38.55988732161404) l(r:p10udm17084-p10ulv20005);(-122.83339783487232,38.556518570622835);(-122.83340985274626,38.556284274825295) l(r:p10udm17084-p10udt5062lv);(-122.83275511756317,38.55651765420574);(-122.83286988850908,38.55651781811044);(-122.83297318236119,38.556517965528485);(-122.83307647621409,38.55651811285536);(-122.83317977006773,38.5565182600911);(-122.83329454101707,38.556518423579504);(-122.83339783487232,38.556518570622835) l(r:p10udm17085-p10ulv20023);(-122.83254852986288,38.55651735889375);(-122.83257186032056,38.55635517564205) l(r:p10udm17082-p10ulv16877);(-122.83244523601384,38.55651721110105);(-122.83244462933935,38.55677855922226) l(r:p10udm17083-p10ulv16885);(-122.83212387737733,38.556516750718686);(-122.83211200201822,38.55668796233797) l(r:p10udm17082-p10udm17083);(-122.8323304650714,38.556517046780044);(-122.83222717122396,38.55651689879493);(-122.83212387737733,38.556516750718686) l(r:p10udm17082-p10udm17085);(-122.83244523601384,38.55651721110105) l(r:p10udm17085-p10udt5062lv);(-122.83254852986288,38.55651735889375) l(r:p10udt5063lv-p10ulv20025);(-122.83384544158697,38.55651920675752);(-122.83379990671443,38.55635692549945) l(r:p10udm17086-p10ulv16892);(-122.83417829806162,38.556510666666156);(-122.8341767452886,38.55718656701595) l(r:p10udm17086-p10udt5063lv);(-122.83396023327226,38.55651035758824);(-122.83406352711944,38.556510504044205);(-122.83417829806162,38.556510666666156) l(r:p10udm17087-p10ulv16913);(-122.83362737677551,38.55651889705954);(-122.8336270028765,38.55668111314587) l(r:p10udm17087-p10ulv19915);(-122.83362737677551,38.55651889705954);(-122.83363951852681,38.556230529204775) l(r:p10udm17087-p10udt5063lv);(-122.83373067063314,38.55651904380922);(-122.83362737677551,38.55651889705954) l(r:p10udt5064lv-p10ulv18060);(-122.83115670262606,38.55784012868536);(-122.83103041008921,38.55785797053463) l(r:p10udm17090-p10ulv16893);(-122.83115651289172,38.55792123670049);(-122.83185660823901,38.55793125636155) l(r:p10udm17090-p10ulv18020);(-122.83115651289172,38.55792123670049);(-122.83135169045025,38.55789448193918) l(r:p10udm17090-p10ulv18049);(-122.83115651289172,38.55792123670049);(-122.83152372392634,38.557948801835956) l(r:p10udm17090-p10udt5064lv);(-122.83115651289172,38.55792123670049) l(r:p10udm17092-p10ulv18034);(-122.83115691344106,38.55775000866725);(-122.83140949801329,38.55771432455771) l(r:p10udm17092-p10udt5064lv);(-122.83115691344106,38.55775000866725) l(r:p10udt5081lv-p10ulv16971);(-122.82286953712048,38.562730426063176);(-122.82249076059855,38.562729852320125) l(r:p10udm17175-p10ulv17170);(-122.82273284064608,38.56230665401726);(-122.82244542479272,38.562495470946445) l(r:p10udm17174-p10ulv17161);(-122.82358071522899,38.56292075248969);(-122.82347721386394,38.56300170467448) l(r:p10udm17174-p10udm17175);(-122.82287035555878,38.562396982414484);(-122.82301932675269,38.56249633999364);(-122.82315682030165,38.5625956800437);(-122.82330579230047,38.562695037256866);(-122.82344324252551,38.56281240094976);(-122.82358071522899,38.56292075248969) l(r:p10udm17175-p10udt5081lv);(-122.82286993528136,38.562568210236456);(-122.82285887753524,38.56239696504654);(-122.82273284064608,38.56230665401726) l(r:p10udt5085lv-p10ulv17477);(-122.8243763148226,38.55672167326623);(-122.82426141195386,38.55677557303494) l(r:p10udt5085lv-p10ulv19662);(-122.8243763148226,38.55672167326623);(-122.82453712597388,38.55666784220007) l(r:p10udm17190-p10ulv16976);(-122.82457090022478,38.55693825380715);(-122.82470886684831,38.556839328107635) l(r:p10udm17190-p10udt5085lv);(-122.82444500220487,38.55679387255341);(-122.82451368972443,38.55686607179974);(-122.82457090022478,38.55693825380715) l(r:p10udm17192-p10ulv17621);(-122.82425041756882,38.55657729179969);(-122.82416985834722,38.556667291166) l(r:p10udm17192-p10ulv19610);(-122.82425041756882,38.55657729179969);(-122.82413551483683,38.5566311914465) l(r:p10udm17192-p10ulv19676);(-122.82425041756882,38.55657729179969);(-122.8244227494243,38.55650545411696) l(r:p10udm17192-p10udt5085lv);(-122.82431910468803,38.556649491161906);(-122.82425041756882,38.55657729179969) l(r:p10udt5095lv-p10ulv16987);(-122.8228534354198,38.564613914351575);(-122.82191218636648,38.564621498224966) l(r:p10udt5096lv-p10ulv17320);(-122.82462920886036,38.56128213938427);(-122.82458336318606,38.56125503461721) l(r:p10udm17227-p10ulv16988);(-122.82483598510117,38.56121035275516);(-122.82480166098136,38.56116524125964) l(r:p10udm17227-p10ulv17032);(-122.82483598510117,38.56121035275516);(-122.82483613821458,38.56114726879998) l(r:p10udm17227-p10ulv17210);(-122.82483598510117,38.56121035275516);(-122.82489337428147,38.56121043861213) l(r:p10udm17230-p10ulv17026);(-122.82488156842963,38.56134560134496);(-122.82493904516004,38.56130963920617) l(r:p10udm17230-p10ulv17059);(-122.82488156842963,38.56134560134496);(-122.82488152469402,38.56136362533166) l(r:p10udm17229-p10ulv17017);(-122.82490434922508,38.56141773162882);(-122.82496173857051,38.561417817452664) l(r:p10udm17235-p10ulv17128);(-122.82494969235708,38.561652112110785);(-122.82504153745637,38.5616432373876) l(r:p10udm17232-p10ulv17056);(-122.82496099539841,38.56172422521641);(-122.82507559982632,38.561796492724056) l(r:p10udm17236-p10ulv17149);(-122.82477726118972,38.56175999844887);(-122.82475419592274,38.561805024049406) l(r:p10udm17241-p10ulv17319);(-122.82457063666283,38.561768701016064);(-122.82452461540069,38.56181369216784) l(r:p10udm17236-p10udm17241);(-122.82467395987936,38.561759843781765);(-122.82457063666283,38.561768701016064) l(r:p10udm17232-p10udm17236);(-122.82488058436911,38.5617511410321);(-122.82477726118972,38.56175999844887) l(r:p10udm17232-p10udm17235);(-122.82496099539841,38.56172422521641) l(r:p10udm17229-p10udm17235);(-122.82491565218527,38.56148984474153);(-122.82493841119896,38.5615709870102);(-122.82494969235708,38.561652112110785) l(r:p10udm17229-p10udm17230);(-122.82490434922508,38.56141773162882) l(r:p10udm17227-p10udm17230);(-122.82485876580886,38.56128248304935);(-122.82488156842963,38.56134560134496) l(r:p10udm17227-p10udt5096lv);(-122.82473259703205,38.561246246115594);(-122.82483598510117,38.56121035275516) l(r:p10udm17234-p10ulv17069);(-122.82452582058613,38.561318032561154);(-122.82452595205912,38.56126396060151) l(r:p10udm17234-p10ulv17276);(-122.82452582058613,38.561318032561154);(-122.82457168818515,38.56133612535725) l(r:p10udm17228-p10ulv16992);(-122.82442243220932,38.56135392564626);(-122.8244223664339,38.561380961625574) l(r:p10udm17228-p10ulv17290);(-122.82442243220932,38.56135392564626);(-122.82439958613199,38.56130883124864) l(r:p10udm17238-p10ulv17216);(-122.82430756586571,38.56138980141409);(-122.82429619770603,38.5613447242222) l(r:p10udm17238-p10ulv17325);(-122.82430756586571,38.56138980141409);(-122.82430747810763,38.56142584938603) l(r:p10udm17228-p10udm17238);(-122.82430756586571,38.56138980141409) l(r:p10udm17228-p10udm17234);(-122.82442243220932,38.56135392564626) l(r:p10udm17234-p10udt5096lv);(-122.82452582058613,38.561318032561154) l(r:p10udm17293-p10ulv17239);(-122.82586601131217,38.562446536929244);(-122.82591211910479,38.56236549728498) l(r:p10udm17293-p10udt5102lv);(-122.82567090651793,38.562437234522086);(-122.82586601131217,38.562446536929244) l(r:p10udm17294-p10ulv17328);(-122.82523421752941,38.56265287198336);(-122.82502761234822,38.56265256335847) l(r:p10udm17292-p10ulv16995);(-122.82525813381534,38.562256378612005);(-122.82532691457988,38.562292529359546) l(r:p10udm17292-p10udm17294);(-122.82529189148615,38.5625358017566);(-122.82520019808298,38.56248159273027);(-122.82509702678793,38.56242736648343);(-122.82517759131295,38.5623373665803);(-122.82525813381534,38.562256378612005) l(r:p10udm17294-p10udt5102lv);(-122.8254408881106,38.56262614426875);(-122.82523421752941,38.56265287198336) l(r:p10udt5103lv-p10ulv17091);(-122.82653453370781,38.55650860225562);(-122.82653423049999,38.55663477027696) l(r:p10udm17299-p10ulv17178);(-122.82607440781109,38.55694049716939);(-122.82624658687286,38.55693174081003) l(r:p10udm17299-p10ulv17228);(-122.82607440781109,38.55694049716939);(-122.82630386422161,38.55697688597169) l(r:p10udm17295-p10ulv16997);(-122.82551250637282,38.55674139629605);(-122.82550076780413,38.55684952319745) l(r:p10udm17295-p10udm17299);(-122.82607419065643,38.55703061717604);(-122.82607397350081,38.557120737181286);(-122.82592468314081,38.55715656342057);(-122.82578730485925,38.55701216654203);(-122.82564990535849,38.55687678150063);(-122.82551250637282,38.55674139629605) l(r:p10udm17299-p10udt5103lv);(-122.82641976281437,38.55650843214002);(-122.82630499192192,38.556508261911915);(-122.82619022103043,38.556508091571274);(-122.8260869272289,38.5565079381685);(-122.82608673180684,38.556589046180605);(-122.82607503755408,38.55667914914224);(-122.82607482040224,38.55676926915294);(-122.82607460324944,38.55685938916223);(-122.82607440781109,38.55694049716939) l(r:p10udm17302-p10ulv19500);(-122.8266493046022,38.55650877225872);(-122.82660378592541,38.55634648823898) l(r:p10udm17296-p10ulv17047);(-122.826752598408,38.55650892516528);(-122.82671734501348,38.55685133026139) l(r:p10udm17303-p10ulv19507);(-122.82686736930422,38.55650909495459);(-122.82684482588311,38.556337832969646) l(r:p10udm17298-p10ulv17138);(-122.82709691109962,38.55650943419565);(-122.82703820836278,38.557059081515156) l(r:p10udm17298-p10ulv17353);(-122.82709691109962,38.55650943419565);(-122.82710806439859,38.556644631172716) l(r:p10udm17298-p10udm17303);(-122.82698214020144,38.55650926463138);(-122.82709691109962,38.55650943419565) l(r:p10udm17296-p10udm17303);(-122.82686736930422,38.55650909495459) l(r:p10udm17296-p10udm17302);(-122.826752598408,38.55650892516528) l(r:p10udm17302-p10udt5103lv);(-122.8266493046022,38.55650877225872) l(r:p10udm17401-p10ulv17035);(-122.82587896793419,38.56183373850465);(-122.82570682066309,38.56182447030237) l(r:p10udm17401-p10udt5119lv);(-122.82587925060044,38.56171658259335);(-122.82587896793419,38.56183373850465) l(r:p10udm17402-p10ulv17070);(-122.8258686859617,38.561338061791396);(-122.8258227527836,38.56134700548419) l(r:p10udm17402-p10udt5119lv);(-122.8258686859617,38.561338061791396) l(r:p10udt5120lv-p10ulv17152);(-122.82667356446962,38.560744462494995);(-122.82661639216111,38.56065425755968) l(r:p10udm17405-p10ulv17143);(-122.8267654731027,38.56070855042905);(-122.82675425494638,38.56060038949974) l(r:p10udm17405-p10ulv17249);(-122.8267654731027,38.56070855042905);(-122.82683401529452,38.56084383224549) l(r:p10udm17408-p10ulv17207);(-122.82697222398116,38.560645772006175);(-122.8268806397946,38.56054650430353) l(r:p10udm17408-p10ulv17248);(-122.82697222398116,38.560645772006175);(-122.82702933193099,38.56076301275479) l(r:p10udm17405-p10udm17408);(-122.82686885939631,38.56067265526578);(-122.82697222398116,38.560645772006175) l(r:p10udm17405-p10udt5120lv);(-122.8267654731027,38.56070855042905) l(r:p10udm17407-p10ulv17206);(-122.8264667913809,38.56081625238303);(-122.8265813309183,38.56091555441251) l(r:p10udm17403-p10ulv17038);(-122.82638642528119,38.560825145251165);(-122.82635225215861,38.56071695024051) l(r:p10udm17403-p10ulv17311);(-122.82638642528119,38.560825145251165);(-122.82644353241913,38.560942386280054) l(r:p10udm17404-p10ulv17043);(-122.82608906722405,38.56038311453831);(-122.82630716531442,38.56037442630944) l(r:p10udm17403-p10udm17404);(-122.82630605916187,38.56083403806409);(-122.82620275916847,38.560833884749115);(-122.82609948089117,38.56082471934824);(-122.8260996980437,38.56073459940005);(-122.82609989348016,38.56065349144547);(-122.82608863289691,38.56056335444405);(-122.82608885006097,38.56047323449187);(-122.82608906722405,38.56038311453831) l(r:p10udm17403-p10udm17407);(-122.82638642528119,38.560825145251165) l(r:p10udm17407-p10udt5120lv);(-122.82657017797654,38.560780357484894);(-122.8264667913809,38.56081625238303) l(r:p10udm17414-p10ulv17040);(-122.82638184154283,38.55795931315246);(-122.82674961331963,38.55775258127065) l(r:p10udm17417-p10ulv17242);(-122.82650776713116,38.55809468030918);(-122.82677211368578,38.55794186723204) l(r:p10udm17414-p10udm17417);(-122.82645055377806,38.558022499255905);(-122.82650776713116,38.55809468030918) l(r:p10udm17414-p10udt5122lv);(-122.8263131511142,38.557887115008924);(-122.82638184154283,38.55795931315246) l(r:p10udm17415-p10ulv17068);(-122.826118557926,38.557670537380744);(-122.82622202697173,38.55759859476162) l(r:p10udm17416-p10ulv17123);(-122.82606208368878,38.55729194813546);(-122.82626876030193,38.55725620689768) l(r:p10udm17415-p10udm17416);(-122.82606134529742,38.55759835613371);(-122.82606151903755,38.55752626013557);(-122.8260617144945,38.557445152136594);(-122.82606188823334,38.55737305613655);(-122.82606208368878,38.55729194813546) l(r:p10udm17418-p10ulv17620);(-122.82604886895116,38.55801289105219);(-122.82588814287699,38.55803067618241) l(r:p10udm17415-p10udm17418);(-122.82604969428279,38.55767043507761);(-122.82604949881079,38.55775154307342);(-122.82604928161872,38.55784166306743);(-122.82604908614506,38.55792277106084);(-122.82604886895116,38.55801289105219) l(r:p10udm17415-p10udt5122lv);(-122.82618724795424,38.55774273564021);(-122.826118557926,38.557670537380744) l(r:p10udt5125lv-p10ulv17045);(-122.82230449284677,38.56379298842059);(-122.82221280010302,38.56373877706749) l(r:p10udm17432-p10ulv17193);(-122.82244243135905,38.56371208952406);(-122.8224309531266,38.56371207211366) l(r:p10udm17432-p10udt5125lv);(-122.82244243135905,38.56371208952406) l(r:p10udm17434-p10ulv17049);(-122.82662960181395,38.559933314892774);(-122.82660690636054,38.55982513693734) l(r:p10udm17434-p10ulv17300);(-122.82662960181395,38.559933314892774);(-122.82673264089378,38.560041611784236) l(r:p10udm17436-p10ulv17177);(-122.82673298706266,38.55989741984229);(-122.82680157168294,38.56001467770194) l(r:p10udm17435-p10ulv17117);(-122.82690530278725,38.55983459055533);(-122.82682515407305,38.55975336376187) l(r:p10udm17435-p10udm17436);(-122.82683274155619,38.55986198179713);(-122.82689895413452,38.55982708270695) l(r:p10udm17434-p10udm17436);(-122.82672834532754,38.55988917767635) l(r:p10udm17434-p10udt5127lv);(-122.82662713309873,38.559924513811126) l(r:p10udm17439-p10ulv17421);(-122.82606769728386,38.55972520473152);(-122.82590703261491,38.55971595388439) l(r:p10udm17437-p10ulv17233);(-122.82606789274922,38.55964409676308);(-122.82625155584908,38.55963535746788) l(r:p10udm17437-p10udm17439);(-122.82606789274922,38.55964409676308) l(r:p10udm17439-p10udt5127lv);(-122.82641366976213,38.55997788004203);(-122.82630811962183,38.559977898492);(-122.82619338661881,38.55995970414936);(-122.82607861023962,38.55995953368652);(-122.82607880569435,38.55987842572129);(-122.82607897943123,38.559806329751225);(-122.82606769728386,38.55972520473152) l(r:p10udt5129lv-p10ulv17060);(-122.82399739979536,38.561497479813);(-122.82402026765585,38.56153356229455) l(r:p10udm17444-p10ulv17055);(-122.82390548878695,38.56153338969523);(-122.82389414284751,38.56147930047328) l(r:p10udm17444-p10udt5129lv);(-122.82390548878695,38.56153338969523) l(r:p10udm17446-p10ulv17157);(-122.82410078858803,38.56146158710515);(-122.82405494299924,38.561434482132185) l(r:p10udm17446-p10udt5129lv);(-122.82410078858803,38.56146158710515) l(r:p10udt5133lv-p10ulv17065);(-122.82088414317226,38.562601236778704);(-122.82104508176674,38.56250235065331) l(r:p10udt5133lv-p10ulv17284);(-122.82088414317226,38.562601236778704);(-122.82094142164225,38.562646384522985) l(r:p10udm17456-p10ulv17093);(-122.82064433590241,38.56210520828872);(-122.82075922757626,38.56206032413664) l(r:p10udm17456-p10udt5133lv);(-122.82075813079058,38.56250191164204);(-122.82064357438097,38.562411615944804);(-122.82064395514313,38.562258412118766);(-122.82064433590241,38.56210520828872) l(r:p10udm17458-p10ulv17262);(-122.82088383001971,38.56272740462998);(-122.82120535008752,38.562673823995794) l(r:p10udm17457-p10ulv17100);(-122.8208717032239,38.56298873475022);(-122.82122765879004,38.56293520674556) l(r:p10udm17457-p10udm17458);(-122.82087201640057,38.56286256690468);(-122.8208717032239,38.56298873475022) l(r:p10udm17458-p10udt5133lv);(-122.82088383001971,38.56272740462998) l(r:p10udm17464-p10ulv17088);(-122.82605886943267,38.55862572401043);(-122.82631132942724,38.558644122908305) l(r:p10udm17465-p10ulv17172);(-122.82604780468704,38.55845447898973);(-122.82625448463823,38.55841873779211) l(r:p10udm17464-p10udm17465);(-122.82604760920742,38.55853558697463);(-122.82604780468704,38.55845447898973) l(r:p10udm17464-p10udt5136lv);(-122.8260582395873,38.5588870719502);(-122.82605843505745,38.55880596397015);(-122.82605865224554,38.55871584399098);(-122.82605886943267,38.55862572401043) l(r:p10udm17466-p10ulv17271);(-122.826057392541,38.55923853985074);(-122.82626392287955,38.55926588262723) l(r:p10udm17468-p10ulv17741);(-122.82582764634662,38.55931930652429);(-122.8257928439472,38.55947245923614) l(r:p10udm17467-p10ulv17614);(-122.8254954514942,38.55904845092927);(-122.82566761388472,38.55904870743385) l(r:p10udm17467-p10udm17468);(-122.82571289275049,38.55931012370835);(-122.82559811740724,38.55930995277684);(-122.82549484138876,38.55930078684547);(-122.82549503749492,38.55921967887359);(-122.82549523360028,38.55913857090058);(-122.8254954514942,38.55904845092927) l(r:p10udm17466-p10udm17468);(-122.82594239997242,38.559328489227795);(-122.82582764634662,38.55931930652429) l(r:p10udm17466-p10udt5136lv);(-122.82605780520642,38.55906731190185);(-122.82605758801454,38.559157431875576);(-122.826057392541,38.55923853985074) l(r:p10udt5137lv-p10ulv17095);(-122.82433835234886,38.558172553466314);(-122.82432737948875,38.557965260286394) l(r:p10udm17470-p10ulv17444);(-122.82422335934787,38.55826250117015);(-122.82425757201536,38.55835267284208) l(r:p10udm17472-p10ulv17680);(-122.82397105509064,38.55818101378841);(-122.82405150641186,38.55813607457657) l(r:p10udm17472-p10ulv17681);(-122.82397105509064,38.55818101378841);(-122.82399409770262,38.55814500031054) l(r:p10udm17470-p10udm17472);(-122.82405102309318,38.558334338527324);(-122.8240281562248,38.55829825603439);(-122.82397105509064,38.55818101378841) l(r:p10udm17470-p10udt5137lv);(-122.82422335934787,38.55826250117015) l(r:p10udm17471-p10ulv17615);(-122.82470477402683,38.55852457190796);(-122.82481967935547,38.55847067172602) l(r:p10udm17471-p10udt5137lv);(-122.82440715069119,38.55819969277125);(-122.824464471724,38.55822681482681);(-122.82453316062124,38.55829901404726);(-122.82459035036958,38.558380208028005);(-122.82464756214105,38.558452389982264);(-122.82470477402683,38.55852457190796) l(r:p10udt5141lv-p10ulv17113);(-122.82668878617454,38.559185404118395);(-122.82655140251468,38.55904100817405) l(r:p10udt5141lv-p10ulv17317);(-122.82668878617454,38.559185404118395);(-122.82668921898153,38.55900516416436) l(r:p10udt5143lv-p10ulv17116);(-122.82521012634747,38.56312146124898);(-122.82537092935185,38.563076641119544) l(r:p10udt5145lv-p10ulv17129);(-122.82484556004104,38.56199441331524);(-122.824799451291,38.562075452542295) l(r:p10udt5150lv-p10ulv17213);(-122.82567449844751,38.56095025564345);(-122.82569723638142,38.56104040976792) l(r:p10udm17515-p10ulv17140);(-122.82541059636651,38.560913814255116);(-122.82544518231364,38.560850781642905) l(r:p10udm17515-p10udt5150lv);(-122.82549087551722,38.560940970034764);(-122.82541059636651,38.560913814255116) l(r:p10udm17517-p10ulv17413);(-122.82580081945319,38.560923407602935);(-122.8258354050646,38.560860374874736) l(r:p10udm17517-p10udt5150lv);(-122.82580081945319,38.560923407602935) l(r:p10udt5151lv-p10ulv17146);(-122.82191814664944,38.56220628524635);(-122.82197560330677,38.56217933656369) l(r:p10udt5151lv-p10ulv17343);(-122.82191814664944,38.56220628524635);(-122.82195229158155,38.56232349350002) l(r:p10udt5157lv-p10ulv17315);(-122.82639530162265,38.56190660155946);(-122.82640667118142,38.56195167854642) l(r:p10udm17530-p10ulv17183);(-122.82690072042075,38.56174513353505);(-122.82686650285586,38.56165496267644) l(r:p10udm17530-p10udt5157lv);(-122.82660201295015,38.561861847798816);(-122.82675135598862,38.56180799675956);(-122.82690072042075,38.56174513353505) l(r:p10udm17531-p10ulv17309);(-122.82606194156254,38.562113383297174);(-122.82591270607841,38.562122173488284) l(r:p10udm17531-p10udt5157lv);(-122.82623445846035,38.56196944709871);(-122.82606231081797,38.561960179418534);(-122.82606213705107,38.56203227536192);(-122.82606194156254,38.562113383297174) l(r:p10udt5158lv-p10ulv17273);(-122.82474876837594,38.56403999778365);(-122.82404784582637,38.56434535508818) l(r:p10udm17533-p10ulv17185);(-122.82487467959032,38.56418437856291);(-122.82466802623497,38.56420209327089) l(r:p10udm17533-p10udt5158lv);(-122.82487467959032,38.56418437856291) l(r:p10udt5163lv-p10ulv17245);(-122.82340755122675,38.563326032439875);(-122.82340777176046,38.56323591254327) l(r:p10udt5167lv-p10ulv17270);(-122.82703994578115,38.561123509513855);(-122.8271316172634,38.56118672910566) l(r:p10udt5171lv-p10ulv17297);(-122.8228547185741,38.56409121906289);(-122.82337121971153,38.56410101154148) l(r:p10udt5175lv-p10ulv17326);(-122.82607480957469,38.56153663278655);(-122.82623547831837,38.56154588341011) l(r:p10udt5177lv-p10ulv17624);(-122.82540116799987,38.5600666696133);(-122.82533249836726,38.5599854589223) l(r:p10udm17581-p10ulv17368);(-122.82519461387594,38.56004833730937);(-122.82526356706111,38.56001239213849) l(r:p10udm17583-p10ulv17407);(-122.82510312025079,38.55991302023084);(-122.82487334897799,38.560002797010114) l(r:p10udm17583-p10ulv17433);(-122.82510312025079,38.55991302023084);(-122.8247814840677,38.5600206836041) l(r:p10udm17583-p10ulv17479);(-122.82510312025079,38.55991302023084);(-122.82501121181012,38.559948930997) l(r:p10udm17583-p10ulv17783);(-122.82510312025079,38.55991302023084);(-122.82494228041973,38.559975864023976) l(r:p10udm17581-p10udm17583);(-122.8251488561039,38.559985184777126);(-122.82510312025079,38.55991302023084) l(r:p10udm17586-p10ulv17484);(-122.82556102744661,38.560409364985276);(-122.82560685117707,38.56044548136808) l(r:p10udm17580-p10ulv17354);(-122.8259171624989,38.56027471470095);(-122.82582553673758,38.56019347016232) l(r:p10udm17580-p10udm17586);(-122.82573336716956,38.56033752543885);(-122.8259171624989,38.56027471470095) l(r:p10udm17590-p10ulv17665);(-122.82563037271856,38.56021120368494);(-122.82567619635836,38.560247320041604) l(r:p10udm17586-p10udm17590);(-122.82549253163468,38.560256058429374);(-122.82563037271856,38.56021120368494) l(r:p10udm17581-p10udm17586);(-122.82524034990587,38.56012050181866);(-122.82529752007163,38.5602107074292);(-122.8253431908789,38.560309907882385);(-122.82548774160261,38.56024786873367);(-122.82556102744661,38.560409364985276) l(r:p10udm17581-p10udt5177lv);(-122.8252977818505,38.56010256348444);(-122.82524459234212,38.56012887560975);(-122.82519461387594,38.56004833730937) l(r:p10udm17587-p10ulv17598);(-122.82550453225774,38.56003978764607);(-122.8254703608256,38.559931592367406) l(r:p10udm17592-p10ulv20681);(-122.82560791821494,38.5600038935915);(-122.8255622690171,38.55989568124008) l(r:p10udm17593-p10ulv20732);(-122.82571130406959,38.559967999445156);(-122.82563117832777,38.55987775984295) l(r:p10udm17582-p10ulv17383);(-122.82580312518186,38.559968136112545);(-122.82583786256096,38.55984201939963) l(r:p10udm17582-p10ulv17610);(-122.82580312518186,38.559968136112545);(-122.82581436356804,38.56006728514536) l(r:p10udm17582-p10udm17593);(-122.82580312518186,38.559968136112545) l(r:p10udm17592-p10udm17593);(-122.82571130406959,38.559967999445156) l(r:p10udm17587-p10udm17592);(-122.82560791821494,38.5600038935915) l(r:p10udm17587-p10udt5177lv);(-122.82550453225774,38.56003978764607) l(r:p10udm17595-p10ulv17446);(-122.8194688480246,38.5639959262083);(-122.81956069676522,38.563987055797654) l(r:p10udm17595-p10ulv17611);(-122.8194688480246,38.5639959262083);(-122.81939986559802,38.56404087991176) l(r:p10udm17594-p10ulv17355);(-122.81960604664413,38.56421242622185);(-122.81976672048002,38.56422168573189) l(r:p10udm17594-p10udm17595);(-122.81945698644385,38.564149112276674);(-122.81960604664413,38.56421242622185) l(r:p10udm17595-p10udt5178lv);(-122.8194688480246,38.5639959262083) l(r:p10udm17598-p10ulv17750);(-122.81938897376568,38.56380655054891);(-122.81935453902902,38.56380649741606) l(r:p10udm17596-p10ulv17494);(-122.819206292708,38.56341875161211);(-122.81920604434765,38.56351788347247) l(r:p10udm17596-p10udm17598);(-122.8193893120994,38.56367137074426);(-122.81938962787551,38.56354520292375);(-122.81938996620485,38.56341002311302);(-122.819206292708,38.56341875161211) l(r:p10udm17598-p10udt5178lv);(-122.81938897376568,38.56380655054891) l(r:p10udt5179lv-p10ulv17579);(-122.81723024443339,38.55959458451287);(-122.81700060154738,38.55963027387059) l(r:p10udm17601-p10ulv17440);(-122.81723003903666,38.559675692442966);(-122.81708030506888,38.55988273521094) l(r:p10udm17600-p10ulv17382);(-122.81734481490918,38.55967587158015);(-122.81735588198433,38.55983810534563) l(r:p10udm17600-p10ulv17597);(-122.81734481490918,38.55967587158015);(-122.81728692504606,38.55987404585065) l(r:p10udm17604-p10ulv17580);(-122.81744811319534,38.559676032707394);(-122.81749429701155,38.5595679603816) l(r:p10udm17600-p10udm17604);(-122.81744811319534,38.559676032707394) l(r:p10udm17600-p10udm17601);(-122.81734481490918,38.55967587158015) l(r:p10udm17601-p10udt5179lv);(-122.81723003903666,38.559675692442966) l(r:p10udm17602-p10ulv17491);(-122.81721917767588,38.55943235072954);(-122.81703544551719,38.55946811183017) l(r:p10udm17602-p10ulv17726);(-122.81721917767588,38.55943235072954);(-122.81736843141603,38.55941455961327) l(r:p10udm17599-p10ulv17356);(-122.81720790554742,38.559351224875286);(-122.81708160697622,38.55936905165711) l(r:p10udm17599-p10udm17602);(-122.81720790554742,38.559351224875286) l(r:p10udm17602-p10udt5179lv);(-122.8172189722679,38.559513458661854);(-122.81721917767588,38.55943235072954) l(r:p10udt5181lv-p10ulv17391);(-122.81796669577228,38.558847733604);(-122.81795496827816,38.55894684768774) l(r:p10udm17628-p10ulv17499);(-122.81785189846913,38.55885656707352);(-122.81787512627177,38.55874845886125) l(r:p10udm17629-p10ulv17539);(-122.81775996503536,38.55890148414136);(-122.81770287362205,38.558784238873855) l(r:p10udm17629-p10ulv17593);(-122.81775996503536,38.55890148414136);(-122.8177711922088,38.559000633937075) l(r:p10udm17633-p10ulv17660);(-122.81766803148734,38.55894640113643);(-122.81753082585514,38.558738910689016) l(r:p10udm17637-p10ulv20670);(-122.81757609782508,38.558991318058645);(-122.81750759754019,38.55884701883185) l(r:p10udm17638-p10ulv20684);(-122.81747277772715,38.559000169040075);(-122.81748441473412,38.55893710298106) l(r:p10udm17624-p10ulv17358);(-122.81738093508551,38.55900903783508);(-122.81739213895302,38.55911719965719) l(r:p10udm17624-p10udm17638);(-122.81738093508551,38.55900903783508) l(r:p10udm17637-p10udm17638);(-122.81747277772715,38.559000169040075) l(r:p10udm17633-p10udm17637);(-122.81757609782508,38.558991318058645) l(r:p10udm17629-p10udm17633);(-122.81766803148734,38.55894640113643) l(r:p10udm17628-p10udm17629);(-122.81775996503536,38.55890148414136) l(r:p10udm17628-p10udt5181lv);(-122.81785189846913,38.55885656707352) l(r:p10udm17631-p10ulv17589);(-122.81808147033283,38.55884791201573);(-122.81804728787534,38.558748726570734) l(r:p10udm17635-p10ulv17744);(-122.81819624489442,38.558848090314925);(-122.81820797204072,38.55874897620449) l(r:p10udm17630-p10ulv17570);(-122.81831101945701,38.55884826850159);(-122.81829931512331,38.55893837062713) l(r:p10udm17634-p10ulv17701);(-122.81842581669255,38.55883943458169);(-122.81838013364869,38.55874924342449) l(r:p10udm17626-p10ulv17406);(-122.81851747770929,38.55890266091835);(-122.81872423052542,38.55883989704772) l(r:p10udm17636-p10ulv17753);(-122.81852877390136,38.55897477466229);(-122.8184599770454,38.558947631909916) l(r:p10udm17627-p10ulv17428);(-122.81853988885287,38.55911898435423);(-122.81868909634733,38.55911921553833) l(r:p10udm17627-p10udm17636);(-122.81854009277355,38.55903787641049);(-122.81853988885287,38.55911898435423) l(r:p10udm17626-p10udm17636);(-122.81852877390136,38.55897477466229) l(r:p10udm17626-p10udm17634);(-122.81847163584774,38.558875553756046);(-122.81851747770929,38.55890266091835) l(r:p10udm17630-p10udm17634);(-122.81842581669255,38.55883943458169) l(r:p10udm17630-p10udm17635);(-122.81831101945701,38.55884826850159) l(r:p10udm17631-p10udm17635);(-122.81819624489442,38.558848090314925) l(r:p10udm17631-p10udt5181lv);(-122.81808147033283,38.55884791201573) l(r:p10udt5182lv-p10ulv17455);(-122.82106804451496,38.55787020364821);(-122.82104533569432,38.55777103659497) l(r:p10udm17639-p10ulv17359);(-122.8206206312978,38.55778841066979);(-122.82077003746382,38.55770753122311) l(r:p10udm17639-p10udt5182lv);(-122.82097609197878,38.557924135253955);(-122.82073509083196,38.557914754429774);(-122.8206206312978,38.55778841066979) l(r:p10udm17641-p10ulv17600);(-122.82061955622916,38.558220986498874);(-122.82066564479517,38.55814896086629) l(r:p10udm17641-p10udt5182lv);(-122.82098296048389,38.55793135538199);(-122.82084957313505,38.558032086079585);(-122.8207802839973,38.55820320860679);(-122.82061955622916,38.558220986498874) l(r:p10udm17642-p10ulv17659);(-122.82117147420784,38.55781628950217);(-122.82119422786688,38.55789743253689) l(r:p10udm17643-p10ulv17751);(-122.82133233479034,38.55774443886569);(-122.8212634934111,38.55773532174983) l(r:p10udm17642-p10udm17643);(-122.82133233479034,38.55774443886569) l(r:p10udm17642-p10udt5182lv);(-122.82117147420784,38.55781628950217) l(r:p10udt5183lv-p10ulv17360);(-122.81994975535906,38.55986915958515);(-122.82014433589818,38.56008574735543) l(r:p10udt5183lv-p10ulv17613);(-122.81994975535906,38.55986915958515);(-122.8198918724865,38.5600673351543) l(r:p10udm17646-p10ulv17573);(-122.81981227141904,38.55976981574527);(-122.8197202930115,38.559832758332135) l(r:p10udm17645-p10ulv17507);(-122.81953696666778,38.55970630747954);(-122.81953669626058,38.55981445139786) l(r:p10udm17645-p10udm17646);(-122.81973201823081,38.559733644081014);(-122.81964026501005,38.559706466672566);(-122.81953696666778,38.55970630747954) l(r:p10udm17646-p10udt5183lv);(-122.81988100209622,38.55982399368212);(-122.81981227141904,38.55976981574527) l(r:p10udt5184lv-p10ulv17362);(-122.81980308886281,38.558850574688535);(-122.81964224681673,38.55891341120586) l(r:p10udm17650-p10ulv17369);(-122.82003203128876,38.559094251654315);(-122.81982521137897,38.55918405381567) l(r:p10udm17651-p10ulv17508);(-122.82014649185221,38.559220595964064);(-122.82001994701768,38.55933755785472) l(r:p10udm17650-p10udm17651);(-122.82014649185221,38.559220595964064) l(r:p10udm17650-p10udt5184lv);(-122.81991757112561,38.55896790723089);(-122.82003203128876,38.559094251654315) l(r:p10udm17652-p10ulv17724);(-122.81968862948611,38.55872423003791);(-122.81952785522574,38.558760030415634) l(r:p10udm17649-p10ulv17363);(-122.81955117061266,38.55861587388311);(-122.81945926108104,38.558651780295754) l(r:p10udm17649-p10udm17652);(-122.81955117061266,38.55861587388311) l(r:p10udm17652-p10udt5184lv);(-122.81968862948611,38.55872423003791) l(r:p10udt5185lv-p10ulv17642);(-122.81684140199692,38.55904424306251);(-122.81685260506093,38.55915240493362) l(r:p10udm17653-p10ulv17364);(-122.81672662713208,38.559044063434236);(-122.81670339751314,38.55915217140865) l(r:p10udm17653-p10ulv17757);(-122.81672662713208,38.559044063434236);(-122.81674994820575,38.558899907480665) l(r:p10udm17659-p10ulv20865);(-122.81661182936969,38.55905289568628);(-122.81663572306124,38.55868343992565) l(r:p10udm17653-p10udm17659);(-122.81661182936969,38.55905289568628) l(r:p10udm17653-p10udt5185lv);(-122.81672662713208,38.559044063434236) l(r:p10udm17654-p10ulv17398);(-122.81695617686277,38.559044422578246);(-122.81694497365964,38.55893626071472) l(r:p10udm17656-p10ulv17756);(-122.81707097457092,38.55903558998839);(-122.8171061606405,38.55873824800766) l(r:p10udm17658-p10ulv20662);(-122.8171857494245,38.55903576927903);(-122.81714036463109,38.558828421731) l(r:p10udm17656-p10udm17658);(-122.8171857494245,38.55903576927903) l(r:p10udm17654-p10udm17656);(-122.81707097457092,38.55903558998839) l(r:p10udm17654-p10udt5185lv);(-122.81695617686277,38.559044422578246) l(r:p10udt5186lv-p10ulv17365);(-122.82239728282948,38.55873738268985);(-122.82240818373006,38.55897171199783) l(r:p10udt5186lv-p10ulv17381);(-122.82239728282948,38.55873738268985);(-122.82242012684647,38.558782477493146) l(r:p10udt5186lv-p10ulv17663);(-122.82239728282948,38.55873738268985);(-122.82234002872184,38.55868322363672) l(r:p10udm17663-p10ulv17402);(-122.82256959953025,38.558674559774715);(-122.82258092189076,38.55873766114385) l(r:p10udm17663-p10ulv17707);(-122.82256959953025,38.558674559774715);(-122.82247791307452,38.55862034859688) l(r:p10udm17665-p10ulv17447);(-122.82273043851035,38.55861171922545);(-122.8227191603096,38.558530593875865) l(r:p10udm17665-p10ulv17677);(-122.82273043851035,38.55861171922545);(-122.82281066983971,38.55865690083827) l(r:p10udm17661-p10ulv17370);(-122.82290275462259,38.558548895817175);(-122.82296007536408,38.55857601860704) l(r:p10udm17661-p10ulv17436);(-122.82290275462259,38.558548895817175);(-122.82289143200958,38.55848579447653) l(r:p10udm17661-p10udm17665);(-122.82290275462259,38.558548895817175) l(r:p10udm17663-p10udm17665);(-122.82273043851035,38.55861171922545) l(r:p10udm17663-p10udt5186lv);(-122.82256959953025,38.558674559774715) l(r:p10udm17671-p10ulv17745);(-122.82225948695572,38.55876420964858);(-122.82228224213345,38.55884535246231) l(r:p10udm17669-p10ulv17708);(-122.82184634341618,38.558745557595344);(-122.82190361943933,38.55879070489271) l(r:p10udm17669-p10ulv17714);(-122.82184634341618,38.558745557595344);(-122.82184623219234,38.558790617574665) l(r:p10udm17669-p10udm17671);(-122.82207606999063,38.558673810729665);(-122.82201868283607,38.558673723496376);(-122.82184634341618,38.558745557595344) l(r:p10udm17671-p10udt5186lv);(-122.82225948695572,38.55876420964858) l(r:p10udt5188lv-p10ulv17646);(-122.81776948564638,38.55967653340924);(-122.81773468874053,38.5598206716818) l(r:p10udm17684-p10ulv17463);(-122.81766618735767,38.55967637256557);(-122.81764289062669,38.55981151669425) l(r:p10udm17684-p10ulv17534);(-122.81766618735767,38.55967637256557);(-122.81767796090256,38.55955923453929) l(r:p10udm17684-p10udt5188lv);(-122.81766618735767,38.55967637256557) l(r:p10udm17685-p10ulv17501);(-122.81788426152367,38.55967671201753);(-122.81789601197613,38.55956858596113) l(r:p10udm17683-p10ulv17367);(-122.81798755981414,38.55967687266878);(-122.81803312934079,38.559812123927095) l(r:p10udm17683-p10udm17685);(-122.81798755981414,38.55967687266878) l(r:p10udm17685-p10udt5188lv);(-122.81788426152367,38.55967671201753) l(r:p10udt5191lv-p10ulv17373);(-122.81873817494167,38.557857607367545);(-122.81857724358137,38.557956490417695) l(r:p10udt5192lv-p10ulv17429);(-122.81750374646401,38.560370045549604);(-122.8174696096735,38.56025283597918) l(r:p10udm17710-p10ulv17374);(-122.81780216661026,38.56037051038149);(-122.81781391730276,38.56026238434674) l(r:p10udm17710-p10ulv17567);(-122.81780216661026,38.56037051038149);(-122.81777889265858,38.56049664253006) l(r:p10udm17710-p10udt5192lv);(-122.81759556804674,38.560370188655824);(-122.81769886732808,38.56037034956423);(-122.81780216661026,38.56037051038149) l(r:p10udm17711-p10ulv17397);(-122.81740044718423,38.560369884469026);(-122.81735492410972,38.560216608996626) l(r:p10udm17711-p10ulv17682);(-122.81740044718423,38.560369884469026);(-122.81738865024876,38.560496034440696) l(r:p10udm17715-p10ulv17773);(-122.81719341491245,38.56054078986243);(-122.81707854629927,38.56057665853637) l(r:p10udm17711-p10udm17715);(-122.81729712509116,38.56037873528842);(-122.81719382580012,38.56037857402548);(-122.81719362035669,38.560459681944515);(-122.81719341491245,38.56054078986243) l(r:p10udm17711-p10udt5192lv);(-122.81740044718423,38.560369884469026) l(r:p10udt5193lv-p10ulv17502);(-122.82221000302177,38.560215071119636);(-122.82211793728081,38.560314063563794) l(r:p10udm17716-p10ulv17375);(-122.82242743584086,38.56047674992885);(-122.82233545880277,38.56053969456613) l(r:p10udm17716-p10udt5193lv);(-122.82232446917614,38.56034141328909);(-122.82242743584086,38.56047674992885) l(r:p10udm17718-p10ulv17685);(-122.82210703713693,38.56007973428442);(-122.82209575941418,38.5599986088963) l(r:p10udm17718-p10udt5193lv);(-122.82210703713693,38.56007973428442) l(r:p10udt5194lv-p10ulv17780);(-122.82201100566671,38.557132654511605);(-122.82188457871351,38.557204558484294) l(r:p10udm17723-p10ulv17487);(-122.82193095439341,38.55701537635578);(-122.82180454981531,38.55707826824535) l(r:p10udm17723-p10ulv17516);(-122.82193095439341,38.55701537635578);(-122.82206874704653,38.556988549768) l(r:p10udm17729-p10ulv19631);(-122.82200028410256,38.55682622910556);(-122.82194318742143,38.556708985851635) l(r:p10udm17725-p10ulv17517);(-122.82148392438525,38.556780382696864);(-122.82151808836349,38.55688857917959) l(r:p10udm17725-p10udm17729);(-122.82190844477059,38.556835101463854);(-122.82180519502157,38.55681692028437);(-122.82170192306371,38.556807751012705);(-122.82158719627553,38.5567895521607);(-122.82148392438525,38.556780382696864) l(r:p10udm17723-p10udm17729);(-122.82190811123739,38.556970281445466);(-122.82190826688648,38.55690719745444);(-122.82200028410256,38.55682622910556) l(r:p10udm17723-p10udt5194lv);(-122.82195379757788,38.55706047126135);(-122.82193095439341,38.55701537635578) l(r:p10udm17728-p10ulv17786);(-122.8220682360856,38.55719582573487);(-122.8221946628413,38.55712392156324) l(r:p10udm17719-p10ulv17376);(-122.82211396718841,38.55726799148902);(-122.82195315290407,38.55732181921354) l(r:p10udm17719-p10ulv17425);(-122.82211396718841,38.55726799148902);(-122.82201049453732,38.55733993047272) l(r:p10udm17722-p10ulv17483);(-122.8221711756014,38.55734017465921);(-122.8222861696781,38.55725022895958) l(r:p10udm17726-p10ulv17761);(-122.82222840632461,38.55740334580267);(-122.82209054629799,38.5574572085688) l(r:p10udm17720-p10ulv17422);(-122.82233136863886,38.55753868258452);(-122.82244634066495,38.557457748729064) l(r:p10udm17720-p10udm17726);(-122.822285614959,38.55747552891579);(-122.82233136863886,38.55753868258452) l(r:p10udm17722-p10udm17726);(-122.82222840632461,38.55740334580267) l(r:p10udm17719-p10udm17722);(-122.8221711756014,38.55734017465921) l(r:p10udm17719-p10udm17728);(-122.82211396718841,38.55726799148902) l(r:p10udm17728-p10udt5194lv);(-122.8220682360856,38.55719582573487) l(r:p10udt5196lv-p10ulv17378);(-122.82128432811298,38.55859149646349);(-122.82115776389385,38.55871747159943) l(r:p10udm17734-p10ulv17470);(-122.82139874547833,38.55873586355449);(-122.82128368097972,38.55885284433761) l(r:p10udm17734-p10udt5196lv);(-122.82139874547833,38.55873586355449) l(r:p10udm17735-p10ulv17758);(-122.82104401738206,38.5583027443943);(-122.82086015576722,38.55839258349236) l(r:p10udm17735-p10udt5196lv);(-122.82116991120465,38.55844712925822);(-122.82104401738206,38.5583027443943) l(r:p10udm17736-p10ulv17379);(-122.81796758096301,38.563047339060525);(-122.81761173634982,38.56305579720839) l(r:p10udm17739-p10ulv17795);(-122.81797862719387,38.56321858466529);(-122.81793260090399,38.5632635732021) l(r:p10udm17736-p10udm17739);(-122.81797862719387,38.56321858466529) l(r:p10udm17736-p10udt5197lv);(-122.81796758096301,38.563047339060525) l(r:p10udm17737-p10ulv17524);(-122.8181978245551,38.56277733617248);(-122.81810609076666,38.56274114557978) l(r:p10udm17738-p10ulv17554);(-122.81815300226603,38.56234468942822);(-122.81796926314394,38.562380451959555) l(r:p10udm17737-p10udm17738);(-122.81823248579074,38.56268726976485);(-122.81822132553148,38.56256108410843);(-122.81822164333704,38.56243491627208);(-122.81815300226603,38.56234468942822) l(r:p10udm17737-p10udt5197lv);(-122.81816316323251,38.562867402568244);(-122.8181978245551,38.56277733617248) l(r:p10udt5198lv-p10ulv17380);(-122.82548499815734,38.558624869936324);(-122.82535874648,38.558624681662494) l(r:p10udt5198lv-p10ulv17495);(-122.82548499815734,38.558624869936324);(-122.82566857166057,38.55865217953985) l(r:p10udm17741-p10ulv17448);(-122.8254845841395,38.55879609789299);(-122.82535831035642,38.55880492161553) l(r:p10udm17741-p10ulv17586);(-122.8254845841395,38.55879609789299);(-122.82565676769698,38.55878734241446) l(r:p10udm17741-p10udt5198lv);(-122.82548478025365,38.55871498991413);(-122.8254845841395,38.55879609789299) l(r:p10udm17743-p10ulv17574);(-122.82548560828243,38.55837253399102);(-122.82565774729206,38.55838180250465) l(r:p10udm17743-p10udt5198lv);(-122.8254851942698,38.5585437619551);(-122.82548539038149,38.55846265397275);(-122.82548560828243,38.55837253399102) l(r:p10udm17745-p10ulv17384);(-122.82548621839992,38.55812019803482);(-122.82568135503195,38.558111476731696) l(r:p10udm17745-p10ulv17628);(-122.82548621839992,38.55812019803482);(-122.8253714667544,38.55811101488692) l(r:p10udm17746-p10ulv17389);(-122.82548602229157,38.55820130602194);(-122.82565809558778,38.55823761052992) l(r:p10udm17745-p10udm17746);(-122.82548602229157,38.55820130602194) l(r:p10udm17745-p10udt5199lv);(-122.82548621839992,38.55812019803482) l(r:p10udm17748-p10ulv17596);(-122.82546363418338,38.55796695983541);(-122.82564733662332,38.557940197477905) l(r:p10udm17747-p10ulv17399);(-122.82537225169547,38.55778658292866);(-122.8254757870216,38.55768760497491) l(r:p10udm17747-p10udm17748);(-122.82545230941987,38.55790385873109);(-122.82540653097485,38.557849718278085);(-122.82537225169547,38.55778658292866) l(r:p10udm17748-p10udt5199lv);(-122.82546363418338,38.55796695983541) l(r:p10udm17751-p10ulv17467);(-122.82504026219398,38.557434617996286);(-122.82491383771584,38.55750652524257) l(r:p10udm17751-p10ulv17671);(-122.82504026219398,38.557434617996286);(-122.82515525285712,38.557344669471746) l(r:p10udm17754-p10ulv17565);(-122.82516616251976,38.55757899859948);(-122.82529271775545,38.55745301908413) l(r:p10udm17755-p10ulv17627);(-122.82523487332725,38.5576421854172);(-122.82513138140449,38.55772313915992) l(r:p10udm17755-p10ulv17739);(-122.82523487332725,38.5576421854172);(-122.82536131952995,38.55756126582626) l(r:p10udm17754-p10udm17755);(-122.82523487332725,38.5576421854172) l(r:p10udm17751-p10udm17754);(-122.82510895091762,38.55750681688828);(-122.82516616251976,38.55757899859948) l(r:p10udm17751-p10udt5200lv);(-122.82497157360766,38.55736241906342);(-122.82504026219398,38.557434617996286) l(r:p10udm17752-p10ulv17498);(-122.82420288495025,38.557244110862236);(-122.82409976580385,38.557171859708546) l(r:p10udm17753-p10ulv17564);(-122.82411095750719,38.5572890329443);(-122.82422551016215,38.55737932531866) l(r:p10udm17753-p10ulv17650);(-122.82411095750719,38.5572890329443);(-122.82404233589853,38.55718979746886) l(r:p10udm17750-p10ulv17385);(-122.82393857950446,38.55737889415133);(-122.82405313208533,38.557469186692785) l(r:p10udm17750-p10udm17753);(-122.82401902994987,38.557333954953556);(-122.82393857950446,38.55737889415133) l(r:p10udm17752-p10udm17753);(-122.82411095750719,38.5572890329443) l(r:p10udm17752-p10udt5200lv);(-122.8248341749764,38.55722703307477);(-122.82477696398449,38.557154851198426);(-122.8247082759273,38.557082652108875);(-122.82463958800737,38.55701045297844);(-122.82454766113295,38.55705537540656);(-122.82446718941024,38.55710932697099);(-122.82437526230285,38.55715424926242);(-122.82428333508122,38.55719917148105);(-122.82420288495025,38.557244110862236) l(r:p10udm17766-p10ulv17390);(-122.81883163031553,38.56177798410765);(-122.81887803959695,38.5615797913733) l(r:p10udm17766-p10ulv17410);(-122.81883163031553,38.56177798410765);(-122.81880822193867,38.56195818837067) l(r:p10udm17768-p10ulv17430);(-122.81837251342104,38.561777272682136);(-122.81843017511666,38.56166921783347) l(r:p10udm17766-p10udm17768);(-122.81860207186625,38.56177762861997);(-122.81837251342104,38.561777272682136) l(r:p10udm17766-p10udt5202lv);(-122.81883163031553,38.56177798410765) l(r:p10udm17769-p10ulv17693);(-122.81925669715588,38.5616254367422);(-122.81945197925519,38.56156265391105) l(r:p10udm17770-p10ulv17730);(-122.81939312383592,38.56214834472857);(-122.81918658790347,38.562120989830234) l(r:p10udm17769-p10udm17770);(-122.81927924673552,38.56178768800382);(-122.81939402597996,38.56178786514001);(-122.81939357490995,38.56196810493708);(-122.81939312383592,38.56214834472857) l(r:p10udm17769-p10udt5202lv);(-122.81925669715588,38.5616254367422) l(r:p10udt5203lv-p10ulv17393);(-122.81639627037376,38.56257625995706);(-122.81651121125215,38.56251335601136) l(r:p10udt5203lv-p10ulv17612);(-122.81639627037376,38.56257625995706);(-122.81654546208848,38.562585505878204) l(r:p10udm17772-p10ulv17450);(-122.81640731280767,38.5627475057145);(-122.8167059029957,38.562684889455) l(r:p10udm17772-p10udt5203lv);(-122.81640731280767,38.5627475057145) l(r:p10udt5204lv-p10ulv17461);(-122.82082903454234,38.55705875524654);(-122.82069115174939,38.557121628364854) l(r:p10udm17776-p10ulv17480);(-122.82092051654395,38.5571940757036);(-122.82075972392013,38.55723888978994) l(r:p10udm17777-p10ulv17531);(-122.82102363252864,38.557266329649856);(-122.82088554823468,38.55741031097253) l(r:p10udm17777-p10ulv17794);(-122.82102363252864,38.557266329649856);(-122.82123046777158,38.557167513326874) l(r:p10udm17780-p10ulv17676);(-122.82158570379174,38.55739335604847);(-122.8214826985065,38.557276042607306) l(r:p10udm17777-p10udm17780);(-122.8213788460822,38.557501184992454);(-122.82145934283511,38.55743822356344);(-122.82158570379174,38.55739335604847) l(r:p10udm17776-p10udm17777);(-122.82096633591013,38.55723019391222);(-122.82102363252864,38.557266329649856) l(r:p10udm17776-p10udt5204lv);(-122.82087476431796,38.55713092148326);(-122.82092051654395,38.5571940757036) l(r:p10udm17783-p10ulv19605);(-122.82084093672461,38.5568875448468);(-122.82074936573814,38.55678827231538) l(r:p10udm17774-p10ulv17394);(-122.82094432046367,38.556851654886145);(-122.82102434760472,38.556977945713825) l(r:p10udm17782-p10ulv19521);(-122.82105918123506,38.55681578237711);(-122.82096763239126,38.556707498016074) l(r:p10udm17774-p10udm17782);(-122.82105918123506,38.55681578237711) l(r:p10udm17774-p10udm17783);(-122.82094432046367,38.556851654886145) l(r:p10udm17779-p10ulv17588);(-122.82064564609632,38.55695934207681);(-122.8206115057912,38.55684213334564) l(r:p10udm17778-p10ulv17562);(-122.82055376162312,38.556986237367376);(-122.82047360080966,38.55691401820869) l(r:p10udm17778-p10udm17779);(-122.82055376162312,38.556986237367376) l(r:p10udm17779-p10udm17783);(-122.820737552883,38.55692343471569);(-122.82064564609632,38.55695934207681) l(r:p10udm17783-p10udt5204lv);(-122.82078330485793,38.55698658899131);(-122.82084093672461,38.5568875448468) l(r:p10udt5206lv-p10ulv17781);(-122.81761346800118,38.557828824442254);(-122.81755633210851,38.557729603075124) l(r:p10udm17791-p10ulv17458);(-122.81751017235781,38.557828663467376);(-122.81747599116316,38.55772947784139) l(r:p10udm17792-p10ulv17478);(-122.81717712540264,38.55790925210496);(-122.81703935203963,38.5579270609274) l(r:p10udm17793-p10ulv17481);(-122.81717774172981,38.55766592823838);(-122.81702853725223,38.55766569513535) l(r:p10udm17792-p10udm17793);(-122.81717730801886,38.55783715614555);(-122.81717753628824,38.55774703619503);(-122.81717774172981,38.55766592823838) l(r:p10udm17796-p10ulv17738);(-122.81716544263944,38.55799034213378);(-122.8173376708491,38.557963574897954) l(r:p10udm17792-p10udm17796);(-122.81716544263944,38.55799034213378) l(r:p10udm17791-p10udm17792);(-122.81739539942168,38.5578284844995);(-122.81729210378005,38.557828323332224);(-122.81717842733882,38.55784612519919);(-122.81717712540264,38.55790925210496) l(r:p10udm17791-p10udt5206lv);(-122.81751017235781,38.557828663467376) l(r:p10udm17794-p10ulv17575);(-122.81771678640501,38.55781997333071);(-122.81771642224984,38.5579641652534) l(r:p10udm17789-p10ulv17443);(-122.81793485496272,38.557820312674735);(-122.81796896864633,38.55794653415255) l(r:p10udm17788-p10ulv17400);(-122.81802674146664,38.55779341944854);(-122.81795805957208,38.557721216421264) l(r:p10udm17790-p10ulv17457);(-122.81811862790231,38.55776652614992);(-122.81813046851265,38.557622352049954) l(r:p10udm17790-p10ulv17698);(-122.81811862790231,38.55776652614992);(-122.81820994681895,38.557964932665826) l(r:p10udm17788-p10udm17790);(-122.81811862790231,38.55776652614992) l(r:p10udm17788-p10udm17789);(-122.81802674146664,38.55779341944854) l(r:p10udm17789-p10udm17794);(-122.81783155932966,38.557820151983464);(-122.81793485496272,38.557820312674735) l(r:p10udm17794-p10udt5206lv);(-122.81771678640501,38.55781997333071) l(r:p10udm17804-p10ulv17416);(-122.82205812686531,38.56129628356575);(-122.82172497987253,38.56141293303475) l(r:p10udm17805-p10ulv17432);(-122.82218402791952,38.56144066728249);(-122.82205761579519,38.56150355937957) l(r:p10udm17804-p10udm17805);(-122.82218402791952,38.56144066728249) l(r:p10udm17804-p10udt5209lv);(-122.82205812686531,38.56129628356575) l(r:p10udm17806-p10ulv17709);(-122.82179484846455,38.56100749824723);(-122.82156495820301,38.56114232845861) l(r:p10udm17803-p10ulv17408);(-122.82133649494139,38.560700390713464);(-122.82125528018486,38.56105173576651) l(r:p10udm17803-p10udm17806);(-122.82166894894289,38.56086311410491);(-122.82154304992439,38.56071872982484);(-122.82133649494139,38.560700390713464) l(r:p10udm17806-p10udt5209lv);(-122.82179484846455,38.56100749824723) l(r:p10udt5210lv-p10ulv17411);(-122.82004656463008,38.55786863792116);(-122.81995443149317,38.55799466469219) l(r:p10udm17808-p10ulv17412);(-122.82188962891252,38.559809042873724);(-122.82169428687492,38.559898865788824) l(r:p10udm17810-p10ulv17445);(-122.82200407163762,38.55994439735646);(-122.82201552704602,38.55995342680141) l(r:p10udm17808-p10udm17810);(-122.82200407163762,38.55994439735646) l(r:p10udm17811-p10ulv17576);(-122.8220166827107,38.55948480308848);(-122.82213179145475,38.559349797606956) l(r:p10udm17809-p10ulv17442);(-122.82163903679572,38.55903362687636);(-122.82148953968984,38.559150555389955) l(r:p10udm17809-p10udm17811);(-122.82189080762834,38.55933140715741);(-122.82176493308029,38.55917801108825);(-122.82163903679572,38.55903362687636) l(r:p10udm17808-p10udm17811);(-122.82202751581013,38.559746168382595);(-122.8221654246736,38.5596742817332);(-122.82214255832739,38.559638198881466);(-122.8220166827107,38.55948480308848) l(r:p10udm17808-p10udt5211lv);(-122.82179809729259,38.559691747211055);(-122.82188962891252,38.559809042873724) l(r:p10udt5213lv-p10ulv17735);(-122.81853909582026,38.55943440412472);(-122.81837841012593,38.559434154941755) l(r:p10udm17815-p10ulv17699);(-122.81853927708595,38.5593623081787);(-122.81839013712055,38.55933504082263) l(r:p10udm17813-p10ulv17417);(-122.81853948100907,38.559281200238324);(-122.81868864355994,38.55929945541076) l(r:p10udm17816-p10ulv17727);(-122.81853988885287,38.55911898435423);(-122.81837920386077,38.559118735174046) l(r:p10udm17813-p10udm17816);(-122.8185396849314,38.55920009229684);(-122.81852841135337,38.559118966562956) l(r:p10udm17813-p10udm17815);(-122.81853948100907,38.559281200238324) l(r:p10udm17815-p10udt5213lv);(-122.81853927708595,38.5593623081787) l(r:p10udm17818-p10ulv17748);(-122.81869914764333,38.55968698889349);(-122.81869946458094,38.559560820991685) l(r:p10udm17814-p10ulv17569);(-122.81879096836312,38.55968713105998);(-122.81874469587802,38.559831251870555) l(r:p10udm17814-p10udm17818);(-122.81879096836312,38.55968713105998) l(r:p10udm17818-p10udt5213lv);(-122.81852741433302,38.55951549427142);(-122.8185272103946,38.55959660220847);(-122.8185270064554,38.559677710144406);(-122.81861882716251,38.55967785244588);(-122.81869914764333,38.55968698889349) l(r:p10udt5214lv-p10ulv17424);(-122.81719382580012,38.56037857402548);(-122.81701045681653,38.560270143218055) l(r:p10udt5214lv-p10ulv17606);(-122.81719382580012,38.56037857402548);(-122.81699877347334,38.560351233196045) l(r:p10udt5215lv-p10ulv17434);(-122.81649874784158,38.56290085346614);(-122.81631381365962,38.56340523668035) l(r:p10udt5216lv-p10ulv17555);(-122.81721369984697,38.56159522853831);(-122.8173973917329,38.56157749116274) l(r:p10udm17822-p10ulv17435);(-122.8174084135981,38.561757748849566);(-122.81743034349388,38.562163324146134) l(r:p10udm17823-p10ulv17551);(-122.81799376472352,38.56176767241126);(-122.81802869840898,38.56156946217315) l(r:p10udm17822-p10udm17823);(-122.81760353821304,38.56175805303226);(-122.81779864007832,38.56176736887894);(-122.81799376472352,38.56176767241126) l(r:p10udm17822-p10udt5216lv);(-122.81721349441696,38.56167633644054);(-122.81722476690439,38.56175746226288);(-122.8174084135981,38.561757748849566) l(r:p10udm17826-p10ulv17581);(-122.81721390527616,38.56151412063496);(-122.81707621640732,38.56149588151569) l(r:p10udm17824-p10ulv17553);(-122.81720283827832,38.56135188690276);(-122.81706498981448,38.5613967316971) l(r:p10udm17824-p10ulv17706);(-122.81720283827832,38.56135188690276);(-122.81737496047548,38.561370179595386) l(r:p10udm17824-p10udm17826);(-122.81720263283786,38.56143299480832);(-122.81720283827832,38.56135188690276) l(r:p10udm17826-p10udt5216lv);(-122.81721390527616,38.56151412063496) l(r:p10udm17828-p10ulv17438);(-122.82227231807882,38.55821449528724);(-122.82235221596117,38.558394857167244) l(r:p10udm17830-p10ulv17675);(-122.82213538927672,38.55788985421705);(-122.8221241784826,38.55778169280948) l(r:p10udm17831-p10ulv17746);(-122.82183675686309,38.55797952042716);(-122.82180223590336,38.55801551600908) l(r:p10udm17830-p10udm17831);(-122.82196311853565,38.55793465251069);(-122.82183675686309,38.55797952042716) l(r:p10udm17828-p10udm17830);(-122.82244465580708,38.55814266055059);(-122.82235314756709,38.55801635329406);(-122.82230754887257,38.557890115656456);(-122.82213538927672,38.55788985421705) l(r:p10udm17828-p10udt5217lv);(-122.82227231807882,38.55821449528724) l(r:p10udm17829-p10ulv17552);(-122.82197346220372,38.55839428180457);(-122.82195039627346,38.558439306877) l(r:p10udm17829-p10udt5217lv);(-122.82197346220372,38.55839428180457) l(r:p10udm17832-p10ulv17439);(-122.82016046191634,38.5582202821399);(-122.82025219100409,38.55825647113931) l(r:p10udm17832-p10udt5218lv);(-122.82019383880343,38.55864389882102);(-122.82005646919394,38.558499495275);(-122.81991910013362,38.55835509156555);(-122.82016046191634,38.5582202821399) l(r:p10udm17833-p10ulv17686);(-122.82046857966996,38.55893270542276);(-122.82064123443465,38.558734705425586) l(r:p10udm17833-p10ulv17716);(-122.82046857966996,38.55893270542276);(-122.82072148697218,38.55877087647114) l(r:p10udm17833-p10udt5218lv);(-122.82046857966996,38.55893270542276) l(r:p10udt5219lv-p10ulv17449);(-122.82305943945991,38.560180309977596);(-122.82304800598047,38.560162268640454) l(r:p10udt5219lv-p10ulv17497);(-122.82305943945991,38.560180309977596);(-122.82300227211964,38.560090103280324) l(r:p10udt5219lv-p10ulv17637);(-122.82305943945991,38.560180309977596);(-122.82310504090209,38.560306547279424) l(r:p10udm17840-p10ulv17749);(-122.82326619202182,38.56011753809837);(-122.82332364651238,38.56009058873926) l(r:p10udm17837-p10ulv17609);(-122.82340271111903,38.56061340562855);(-122.82348303325894,38.56062253879635) l(r:p10udm17837-p10udm17840);(-122.82340271111903,38.56061340562855) l(r:p10udm17839-p10ulv17722);(-122.82295728998672,38.559711530081366);(-122.82303754477545,38.55974769953853) l(r:p10udm17839-p10udm17840);(-122.82310665335909,38.55964867167272);(-122.82295728998672,38.559711530081366) l(r:p10udm17840-p10udt5219lv);(-122.82326619202182,38.56011753809837) l(r:p10udt5220lv-p10ulv17451);(-122.81716795372317,38.55699902262819);(-122.81729411125362,38.55703526771361) l(r:p10udt5220lv-p10ulv17545);(-122.81716795372317,38.55699902262819);(-122.81703022778564,38.55699880745451) l(r:p10udm17842-p10ulv17466);(-122.8171566820214,38.55691789673627);(-122.81701895623874,38.55691768154971) l(r:p10udm17846-p10ulv17560);(-122.8171568874803,38.556836788768194);(-122.81729456748661,38.55685502778525) l(r:p10udm17849-p10ulv19514);(-122.81714561581556,38.556755662873066);(-122.81700782180074,38.556782483663895) l(r:p10udm17850-p10ulv19700);(-122.81715734405272,38.556656548835086);(-122.81699687019167,38.5565751897997) l(r:p10udm17849-p10udm17850);(-122.81715720708141,38.55671062081561);(-122.81715734405272,38.556656548835086) l(r:p10udm17846-p10udm17849);(-122.81714561581556,38.556755662873066) l(r:p10udm17842-p10udm17846);(-122.8171568874803,38.556836788768194) l(r:p10udm17842-p10udt5220lv);(-122.8171566820214,38.55691789673627) l(r:p10udm17844-p10ulv17529);(-122.81717902001432,38.557161256482736);(-122.81704131661056,38.55715202932536) l(r:p10udm17847-p10ulv17666);(-122.8171788145779,38.55724236444641);(-122.81731644974475,38.55727862743019) l(r:p10udm17844-p10udm17847);(-122.8171788145779,38.55724236444641) l(r:p10udm17848-p10ulv17770);(-122.81754633557472,38.557143805461926);(-122.81755751663275,38.557260979298704) l(r:p10udm17843-p10ulv17493);(-122.81767265290169,38.55711696616572);(-122.81774119740106,38.557243241343116) l(r:p10udm17843-p10udm17848);(-122.81760376704511,38.557125870892044);(-122.81767265290169,38.55711696616572) l(r:p10udm17844-p10udm17848);(-122.81727083751326,38.55716139983366);(-122.81736267781615,38.55715253111645);(-122.81745451809674,38.55714366232712);(-122.81754633557472,38.557143805461926) l(r:p10udm17844-p10udt5220lv);(-122.81717922544993,38.55708014851794);(-122.81717902001432,38.557161256482736) l(r:p10udt5221lv-p10ulv17476);(-122.83117181531195,38.561192625478526);(-122.83112484955603,38.56164315906777) l(r:p10udt5221lv-p10ulv17540);(-122.83117181531195,38.561192625478526);(-122.83110256865419,38.561354742075785) l(r:p10udm17851-p10ulv17453);(-122.83128661475065,38.56118377895559);(-122.8312517176541,38.56138199324769) l(r:p10udm17855-p10ulv17733);(-122.83138991527231,38.56118392778566);(-122.83140097203594,38.561364184247815) l(r:p10udm17851-p10udm17855);(-122.83138991527231,38.56118392778566) l(r:p10udm17851-p10udt5221lv);(-122.83128661475065,38.56118377895559) l(r:p10udm17857-p10ulv18045);(-122.83117202613337,38.561102505512096);(-122.83097690314874,38.56110222394941) l(r:p10udm17854-p10ulv17605);(-122.8311613494779,38.56076003307361);(-122.83137953235557,38.56071528740713) l(r:p10udm17856-p10ulv18036);(-122.83116156030911,38.56066991310055);(-122.83100087175544,38.56066968123686) l(r:p10udm17854-p10udm17856);(-122.83116156030911,38.56066991310055) l(r:p10udm17854-p10udm17857);(-122.83117223695383,38.56101238554425);(-122.83117242669145,38.56093127757202);(-122.83117263751012,38.56084115760154);(-122.8311613494779,38.56076003307361) l(r:p10udm17857-p10udt5221lv);(-122.83117202613337,38.561102505512096) l(r:p10udt5223lv-p10ulv17465);(-122.81918862002404,38.56130991073013);(-122.81892462951471,38.56130950268967) l(r:p10udt5223lv-p10ulv17592);(-122.81918862002404,38.56130991073013);(-122.81933794485343,38.561265081144676) l(r:p10udt5223lv-p10ulv17607);(-122.81918862002404,38.56130991073013);(-122.81963625611725,38.561310601264275) l(r:p10udm17864-p10ulv17696);(-122.8198187091931,38.56178851956542);(-122.81987650369352,38.56162639205674) l(r:p10udm17864-p10udt5223lv);(-122.81922264722893,38.56147217973826);(-122.81926800432385,38.56162388804166);(-122.81929065694992,38.56178671040634);(-122.81939404853334,38.561778853150024);(-122.81960062862245,38.56178818370157);(-122.8198187091931,38.56178851956542) l(r:p10udm17865-p10ulv17754);(-122.81918947800594,38.56096745507623);(-122.81862708876626,38.56095757307551) l(r:p10udm17865-p10udt5223lv);(-122.8191890490168,38.561138682905685);(-122.81918947800594,38.56096745507623) l(r:p10udt5224lv-p10ulv17472);(-122.8204897638703,38.559644688180676);(-122.8203747413058,38.559743644057825) l(r:p10udm17867-p10ulv17672);(-122.82037534689303,38.559500320224636);(-122.82020295896882,38.55959017587308) l(r:p10udm17867-p10udt5224lv);(-122.82037534689303,38.559500320224636) l(r:p10udm17868-p10ulv17710);(-122.82061563651277,38.5597980856041);(-122.82052356912403,38.559897076797455) l(r:p10udm17868-p10udt5224lv);(-122.82061563651277,38.5597980856041) l(r:p10udt5225lv-p10ulv17473);(-122.82304957475881,38.55952241701012);(-122.82310707303107,38.55947744376291) l(r:p10udm17870-p10ulv17475);(-122.8248341749764,38.55722703307477);(-122.82473077073645,38.55727193847118) l(r:p10udm17870-p10ulv17533);(-122.8248341749764,38.55722703307477);(-122.82496068646147,38.557119077910514) l(r:p10udm17874-p10ulv17687);(-122.82490286329664,38.557299232089306);(-122.8250751962329,38.55722739345755) l(r:p10udm17870-p10udm17874);(-122.82490286329664,38.557299232089306) l(r:p10udm17870-p10udt5226lv);(-122.82484391921543,38.55722227128667) l(r:p10udm17872-p10ulv17599);(-122.8247082759273,38.557082652108875);(-122.82486917510184,38.5569927725912) l(r:p10udm17872-p10ulv17769);(-122.8247082759273,38.557082652108875);(-122.82462773860514,38.5571636397828) l(r:p10udm17873-p10ulv17657);(-122.82454766113295,38.55705537540656);(-122.82446745240414,38.55700118297358) l(r:p10udm17872-p10udm17873);(-122.82463039313552,38.55701584642453);(-122.82455372544787,38.557063026683444) l(r:p10udm17872-p10udt5226lv);(-122.82469908105132,38.55708804556027) l(r:p10udt5227lv-p10ulv17485);(-122.82285481004028,38.55937792999436);(-122.8228776766612,38.55941401270923) l(r:p10udt5227lv-p10ulv17557);(-122.82285481004028,38.55937792999436);(-122.82282024466824,38.55943194985815) l(r:p10udm17877-p10ulv17513);(-122.82254465047524,38.55948560459679);(-122.82255603940868,38.55952166997475) l(r:p10udm17877-p10udt5227lv);(-122.82275142362116,38.559413821620275);(-122.82264803709947,38.55944971315442);(-122.82254465047524,38.55948560459679) l(r:p10udm17879-p10ulv17767);(-122.823153491156,38.55927023722812);(-122.82319931295699,38.55930635455576) l(r:p10udm17879-p10udt5227lv);(-122.8229581963569,38.559342038276675);(-122.82306158257094,38.55930614646722);(-122.823153491156,38.55927023722812) l(r:p10udm17880-p10ulv17488);(-122.824830675573,38.5586689528479);(-122.82495708031799,38.558606057708374) l(r:p10udm17881-p10ulv17673);(-122.82512821540544,38.55902987887917);(-122.82518575564872,38.55896688060891) l(r:p10udm17880-p10udm17881);(-122.82488788781306,38.55874113468226);(-122.8249451001674,38.558813316488035);(-122.825002312636,38.558885498265234);(-122.8250710026968,38.558957697164736);(-122.82512821540544,38.55902987887917) l(r:p10udm17880-p10udt5228lv);(-122.82468129383372,38.55874082547241);(-122.82476172345926,38.558704897774604);(-122.824830675573,38.5586689528479) l(r:p10udm17882-p10ulv17728);(-122.82473841844602,38.55884905536736);(-122.82469253049372,38.55883997462966) l(r:p10udm17883-p10ulv17778);(-122.82462346865728,38.558920979458456);(-122.82450867202706,38.55892981945722) l(r:p10udm17882-p10udm17883);(-122.82462346865728,38.558920979458456) l(r:p10udm17882-p10udt5228lv);(-122.82473841844602,38.55884905536736) l(r:p10udt5229lv-p10ulv17510);(-122.8238087441812,38.55884765986169);(-122.82382055161519,38.558712497183045) l(r:p10udm17884-p10ulv17489);(-122.82424436096454,38.55906460338449);(-122.82422151571777,38.55901950893643) l(r:p10udm17884-p10udt5229lv);(-122.82390025602123,38.55897396597102);(-122.82385423613098,38.55901895688401);(-122.8238311051799,38.55909101831361);(-122.82399174620384,38.559109284003235);(-122.82412945426115,38.55911850299299);(-122.82424436096454,38.55906460338449) l(r:p10udm17887-p10ulv17760);(-122.82355712131606,38.55848679968636);(-122.82351121170765,38.55848673048306) l(r:p10udm17886-p10ulv17705);(-122.82371795902253,38.55842395777527);(-122.82363768333963,38.55839680077637) l(r:p10udm17886-p10udm17887);(-122.82371795902253,38.55842395777527) l(r:p10udm17887-p10udt5229lv);(-122.82355712131606,38.55848679968636) l(r:p10udt5230lv-p10ulv17492);(-122.81939222167578,38.562508824294824);(-122.81905947152315,38.56246325033289) l(r:p10udt5233lv-p10ulv17505);(-122.82505600825591,38.560408611371784);(-122.82482634471477,38.56045332807372) l(r:p10udt5233lv-p10ulv17512);(-122.82505600825591,38.560408611371784);(-122.82472365810347,38.56020083759832) l(r:p10udt5233lv-p10ulv17542);(-122.82505600825591,38.560408611371784);(-122.82457444832387,38.56020061410026) l(r:p10udt5233lv-p10ulv17649);(-122.82505600825591,38.560408611371784);(-122.82457431689221,38.5602546860699) l(r:p10udt5233lv-p10ulv17721);(-122.82505600825591,38.560408611371784);(-122.82479258979016,38.560173904701806) l(r:p10udt5233lv-p10ulv20786);(-122.82505600825591,38.560408611371784);(-122.82476910930454,38.56039015822217) l(r:p10udt5233lv-p10ulv20848);(-122.82505600825591,38.560408611371784);(-122.82473478561475,38.560345046701734) l(r:p10udt5233lv-p10ulv20855);(-122.82505600825591,38.560408611371784);(-122.82504435577327,38.56048069017753) l(r:p10udt5233lv-p10ulv20863);(-122.82505600825591,38.560408611371784);(-122.82470046196778,38.560299935170995) l(r:p10udm17917-p10ulv20871);(-122.82505554950296,38.560597863261435);(-122.82497542388651,38.5605076232204) l(r:p10udm17910-p10ulv17711);(-122.82488323035352,38.560660689809325);(-122.82475708453293,38.560615440906204) l(r:p10udm17910-p10ulv17772);(-122.82488323035352,38.560660689809325);(-122.82472276074407,38.56057032938375) l(r:p10udm17910-p10udm17917);(-122.82488323035352,38.560660689809325) l(r:p10udm17917-p10udt5233lv);(-122.82505554950296,38.560597863261435) l(r:p10udt5235lv-p10ulv17519);(-122.81749058229852,38.56103691496919);(-122.81775411663737,38.561217566044945) l(r:p10udt5235lv-p10ulv17547);(-122.81749058229852,38.56103691496919);(-122.81774329881578,38.56095620045859) l(r:p10udt5235lv-p10ulv17768);(-122.81749058229852,38.56103691496919);(-122.81766325027255,38.56083891946785) l(r:p10udm17924-p10ulv17527);(-122.81719177132874,38.561189653165144);(-122.81705410592939,38.561162402030604) l(r:p10udm17924-p10udt5235lv);(-122.81738728206427,38.56103675387465);(-122.81728398183088,38.561036592688964);(-122.81719195395176,38.561117557246185);(-122.81719177132874,38.561189653165144) l(r:p10udm17942-p10ulv17541);(-122.81896310960897,38.55969640942106);(-122.81892838290574,38.55981351207537) l(r:p10udm17942-p10udt5239lv);(-122.81870079323038,38.55967806998949);(-122.81879099099012,38.55967811906704);(-122.81887131149348,38.55968725539657);(-122.81896310960897,38.55969640942106) l(r:p10udm17944-p10ulv17729);(-122.81842370816065,38.55967754996915);(-122.81845780089596,38.559812783261215) l(r:p10udm17943-p10ulv17549);(-122.81830893227855,38.55967737188975);(-122.81830856928224,38.55982156377131) l(r:p10udm17943-p10udm17944);(-122.81830893227855,38.55967737188975) l(r:p10udm17944-p10udt5239lv);(-122.81852698379542,38.5596867221372);(-122.81842370816065,38.55967754996915) l(r:p10udt5240lv-p10ulv17669);(-122.8171925931272,38.5608652215228);(-122.81737623755016,38.56086550815441) l(r:p10udm17945-p10ulv17548);(-122.81719279857475,38.560784113609415);(-122.8170779524153,38.560810970289964) l(r:p10udm17945-p10udt5240lv);(-122.81719279857475,38.560784113609415) l(r:p10udm17946-p10ulv17582);(-122.81719236485118,38.56095534142527);(-122.81707754126086,38.560973186113856) l(r:p10udm17946-p10udt5240lv);(-122.81719236485118,38.56095534142527) l(r:p10udm17949-p10ulv17662);(-122.81715355437812,38.55815254011115);(-122.81703873524013,38.55817038478123) l(r:p10udm17949-p10udt5241lv);(-122.81718901356872,38.55774705411821);(-122.8172002626085,38.5578371919909);(-122.8171886027089,38.55790927002824);(-122.81717684723941,38.557991355156936);(-122.81716523718165,38.558071450085855);(-122.81715355437812,38.55815254011115) l(r:p10udm17950-p10ulv17792);(-122.81717817543706,38.55749470032618);(-122.81702897131343,38.557494467224565) l(r:p10udm17948-p10ulv17559);(-122.81717838087606,38.55741359236601);(-122.81704058561624,38.557440413189305) l(r:p10udm17948-p10udm17950);(-122.81717838087606,38.55741359236601) l(r:p10udm17950-p10udt5241lv);(-122.81717794717056,38.557584820280596);(-122.81717817543706,38.55749470032618) l(r:p10udt5242lv-p10ulv17584);(-122.8251414613967,38.55829992421581);(-122.82494638976526,38.55828160862308) l(r:p10udt5242lv-p10ulv17704);(-122.8251414613967,38.55829992421581);(-122.82501553801985,38.55816455559937) l(r:p10udt5244lv-p10ulv17604);(-122.82011718030243,38.55716580799443);(-122.8199563198087,38.55723765696851) l(r:p10udt5245lv-p10ulv17690);(-122.82043851715048,38.561789471907744);(-122.82039305402095,38.56160916166394) l(r:p10udm17959-p10ulv17618);(-122.82064511980946,38.56178978862594);(-122.820622903154,38.56149235775574) l(r:p10udm17959-p10ulv17670);(-122.82064511980946,38.56178978862594);(-122.8206454109718,38.561672632746856) l(r:p10udm17959-p10udt5245lv);(-122.82064511980946,38.56178978862594) l(r:p10udm17962-p10ulv17788);(-122.82023191449478,38.56178915482492);(-122.82025581306424,38.56141068646457) l(r:p10udm17962-p10udt5245lv);(-122.82023191449478,38.56178915482492) l(r:p10udt5246lv-p10ulv17725);(-122.81912454120692,38.559399262023476);(-122.81930806910829,38.55944460558335) l(r:p10udm17963-p10ulv17640);(-122.81912492515254,38.55924605813119);(-122.8194002954352,38.559282531384234) l(r:p10udm17963-p10udt5246lv);(-122.81912492515254,38.55924605813119) l(r:p10udt5250lv-p10ulv17715);(-122.8224457864417,38.55768304867923);(-122.82257225798458,38.557593120110965) l(r:p10udt5251lv-p10ulv17717);(-122.82036444520453,38.56388014766911);(-122.820341466252,38.56388912442677) l(r:p10udt5252lv-p10ulv17776);(-122.81821080095567,38.56218256276758);(-122.81811911334131,38.562128348205576) l(r:p10udt5253lv-p10ulv17782);(-122.8229084164337,38.56091906783644);(-122.82293123934471,38.56097317451856) l(r:p10udm18078-p10ulv18018);(-122.82973211388571,38.55843285946984);(-122.82974314485372,38.558622128162874) l(r:p10udm18083-p10ulv18053);(-122.82929603673824,38.55840518831762);(-122.82935376461445,38.55826107998082) l(r:p10udm18084-p10ulv18056);(-122.8292156736657,38.55841408313874);(-122.82917008403976,38.55827883615413) l(r:p10udm18083-p10udm18084);(-122.8292156736657,38.55841408313874) l(r:p10udm18078-p10udm18083);(-122.82962881731042,38.55843270918589);(-122.82951406462587,38.55842353009674);(-122.8294107893651,38.55841436762038);(-122.82929603673824,38.55840518831762) l(r:p10udm18078-p10udt5269lv);(-122.82973211388571,38.55843285946984) l(r:p10udm18079-p10ulv18022);(-122.82993870703858,38.558433159764306);(-122.8299391104843,38.558261931756874) l(r:p10udm18079-p10ulv18058);(-122.82993870703858,38.558433159764306);(-122.82988102267306,38.55855924438763) l(r:p10udm18082-p10ulv18051);(-122.83020262357945,38.558460578944455);(-122.83031780014751,38.558289517348555) l(r:p10udm18080-p10ulv18035);(-122.83030585663292,38.55848776472329);(-122.83044411478403,38.55826266427076) l(r:p10udm18081-p10ulv18041);(-122.83040908976444,38.55851495041115);(-122.83017782399081,38.55924458962409) l(r:p10udm18080-p10udm18081);(-122.83040908976444,38.55851495041115) l(r:p10udm18080-p10udm18082);(-122.83030585663292,38.55848776472329) l(r:p10udm18079-p10udm18082);(-122.83003050499602,38.55844230511179);(-122.83011082557647,38.55845143373187);(-122.83020262357945,38.558460578944455) l(r:p10udm18079-p10udt5269lv);(-122.82993870703858,38.558433159764306) l(r:p10udt5270lv-p10ulv18027);(-122.83071876849067,38.558605518929205);(-122.83068397681453,38.55875867313848) l(r:p10udm18086-p10ulv18019);(-122.83115526905898,38.55845294477166);(-122.83105197244731,38.55845279574338) l(r:p10udm18086-p10udt5270lv);(-122.8308219808234,38.558641716253504);(-122.83092523548207,38.55865988948611);(-122.83103994653338,38.55868709119366);(-122.83114339106712,38.558624156229975);(-122.83114360190467,38.55853403622402);(-122.83115526905898,38.55845294477166) l(r:p10udm18088-p10ulv18040);(-122.83051232297406,38.55854213600803);(-122.83039701939855,38.55876726978804) l(r:p10udm18088-p10udt5270lv);(-122.83061555626172,38.55856932151393);(-122.83051232297406,38.55854213600803) l(r:p10udt5271lv-p10ulv18021);(-122.829123854514,38.558413949152495);(-122.82911167298604,38.55871132838829) l(r:p10udm18090-p10ulv18023);(-122.8289057626681,38.558422642646356);(-122.82890542085819,38.558566834641205) l(r:p10udm18090-p10ulv18029);(-122.8289057626681,38.558422642646356);(-122.82892905922006,38.558278484193835) l(r:p10udm18090-p10udt5271lv);(-122.82902053662035,38.55842281033175);(-122.8289057626681,38.558422642646356) l(r:p10udm18092-p10ulv18046);(-122.8294107893651,38.55841436762038);(-122.82939892854401,38.55857656689354) l(r:p10udm18092-p10udt5271lv);(-122.82921569499004,38.558405071138786);(-122.8292944346901,38.55839626452595);(-122.82941195260264,38.55840540200931) l(r:p10udm18098-p10ulv18055);(-122.82951859856006,38.55650397376501);(-122.82947137015078,38.55706265106286) l(r:p10udm18095-p10ulv18033);(-122.829403827656,38.55650380657488);(-122.82940205977368,38.5572518027748) l(r:p10udm18094-p10ulv18030);(-122.82928905675288,38.55650363927224);(-122.8292767057333,38.55687311464412) l(r:p10udm18094-p10ulv18038);(-122.82928905675288,38.55650363927224);(-122.82927723861894,38.55664781458085) l(r:p10udm18094-p10udm18095);(-122.82928905675288,38.55650363927224) l(r:p10udm18095-p10udm18098);(-122.829403827656,38.55650380657488) l(r:p10udm18098-p10udt5272lv);(-122.82951859856006,38.55650397376501) l(r:p10udm18099-p10ulv18063);(-122.82985143418723,38.55650445798008);(-122.82983883110131,38.55698207744828) l(r:p10udm18093-p10ulv18024);(-122.8301842698224,38.55650494124889);(-122.83020665162563,38.55674829862858) l(r:p10udm18093-p10ulv18043);(-122.8301842698224,38.55650494124889);(-122.83021774719144,38.55691053132559) l(r:p10udm18093-p10udm18099);(-122.82996620509503,38.55650462473139);(-122.8300694989128,38.556504774711364);(-122.8301842698224,38.55650494124889) l(r:p10udm18099-p10udt5272lv);(-122.82973666328043,38.55650429111625);(-122.82985143418723,38.55650445798008) l(r:p10udm18101-p10ulv18026);(-122.83057446976882,38.55651451863839);(-122.83055109245925,38.556694725483744) l(r:p10udm18101-p10udt5274lv);(-122.83066628651119,38.55651465148141);(-122.83057446976882,38.55651451863839) l(r:p10udm18102-p10ulv18061);(-122.83117043544836,38.55687586097138);(-122.83105566394315,38.55687569539931) l(r:p10udm18102-p10ulv18062);(-122.83117043544836,38.55687586097138);(-122.8309524118019,38.55685752228197) l(r:p10udm18102-p10udt5274lv);(-122.83087287418375,38.556514950114874);(-122.8309761469181,38.556524111298614);(-122.83107944076919,38.556524260387555);(-122.83118273462105,38.55652440938536);(-122.83118252384752,38.55661452942251);(-122.83118233415054,38.556695637454766);(-122.8311821233752,38.55678575748929);(-122.83117043544836,38.55687586097138) l(r:p10udm18104-p10ulv20547);(-122.83077000292286,38.556334560768754);(-122.83064369178312,38.55636141421503) l(r:p10udm18104-p10udt5274lv);(-122.83076979163546,38.55642468080693);(-122.83077000292286,38.556334560768754) l(r:p10udm18107-p10ulv18047);(-122.83116982415028,38.557137209059405);(-122.83059607031088,38.557091320053196) l(r:p10udm18107-p10ulv18048);(-122.83116982415028,38.557137209059405);(-122.83102059955546,38.557146005794536) l(r:p10udm18109-p10ulv18052);(-122.83116942364035,38.557308437110734);(-122.83067586093459,38.55732574834623) l(r:p10udm18106-p10ulv18044);(-122.83116900204729,38.557488677159334);(-122.83101977672555,38.55749747389123) l(r:p10udm18106-p10udm18109);(-122.83116921284429,38.55739855713573);(-122.83116900204729,38.557488677159334) l(r:p10udm18107-p10udm18109);(-122.83116961335601,38.557227329087056);(-122.83116942364035,38.557308437110734) l(r:p10udm18107-p10udt5276lv);(-122.83116982415028,38.557137209059405) l(r:p10udm18110-p10ulv19893);(-122.8313778452322,38.55652469057699);(-122.83141263434246,38.55637153609712) l(r:p10udm18110-p10udt5276lv);(-122.83117022465682,38.55696598100305);(-122.83115895829778,38.55687584441924);(-122.83117070529524,38.5567848442926);(-122.83117085702861,38.55669562090385);(-122.8311710467385,38.55661451287165);(-122.83117125752638,38.556524392834554);(-122.8312745513789,38.556524541751344);(-122.8313778452322,38.55652469057699) l(r:p10udt5530lv-p10ulv18995)_s0 l(r:p10udt5530lv-p10ulv18995)_s1 l(r:p10udt5530lv-p10ulv19007);(-122.81483829381075,38.565863193543066);(-122.81512595074922,38.565593287645406) l(r:p10udt5530lv-p10ulv19009);(-122.81483829381075,38.565863193543066);(-122.81490792808734,38.56556590706528) l(r:p10udt5530lv-p10ulv19026);(-122.81483829381075,38.565863193543066);(-122.81513588235138,38.566197108533984) l(r:p10udt5530lv-p10ulv19032);(-122.81483829381075,38.565863193543066);(-122.81494185517919,38.56576422510707) l(r:p10udt5530lv-p10ulv19050);(-122.81483829381075,38.565863193543066);(-122.81487222084762,38.56606151159482) l(r:p10udm18976-p10ulv18976);(-122.81405763497239,38.56590701621886);(-122.81420676364633,38.56594330107467) l(r:p10udm18976-p10udt5530lv)_s0 l(r:p10udm18976-p10udt5530lv)_s1 l(r:p10udm18997-p10ulv18994);(-122.81392765226528,38.5673487323516);(-122.81451293235564,38.56740373331534) l(r:p10udm18999-p10ulv19014);(-122.81391594103853,38.56743883389062);(-122.81422577636458,38.567475374073155) l(r:p10udm18996-p10ulv18984);(-122.81390422978205,38.567528935427);(-122.81409939318405,38.567520233510855) l(r:p10udm18996-p10udm18999);(-122.81390422978205,38.567528935427) l(r:p10udm18997-p10udm18999);(-122.81391594103853,38.56743883389062) l(r:p10udm18997-p10udt5538lv);(-122.81392765226528,38.5673487323516) l(r:p10udm18998-p10ulv19005);(-122.81393959585277,38.56716851102131);(-122.814444685221,38.5671603007289) l(r:p10udm19000-p10ulv19043);(-122.8139632504857,38.56689818813427);(-122.81420423428229,38.56692560691678) l(r:p10udm18998-p10udm19000);(-122.81395130700474,38.56707840947557);(-122.81396301812701,38.566988307927204);(-122.8139632504857,38.56689818813427) l(r:p10udm18998-p10udt5538lv);(-122.81393959585277,38.56716851102131) l(r:p10udt5540lv-p10ulv18992);(-122.8137976875049,38.568781435994715);(-122.81398128233498,38.56880876393153) l(r:p10udm19004-p10ulv19016);(-122.81378597587606,38.568871537497635);(-122.81413020801239,38.56892615666894) l(r:p10udm19004-p10ulv19022);(-122.81378597587606,38.568871537497635);(-122.81402694296226,38.568907968628494) l(r:p10udm19010-p10ulv19208);(-122.81377426421751,38.568961638997926);(-122.81317749301952,38.568906616005435) l(r:p10udm19002-p10ulv18988);(-122.81377403160563,38.56905175875804);(-122.81394619451272,38.56906104453591) l(r:p10udm19011-p10ulv19210);(-122.81376231990201,38.56914186025422);(-122.81357865445207,38.56914156790081) l(r:p10udm19011-p10ulv19212);(-122.81376231990201,38.56914186025422);(-122.81328024474756,38.56912306826078) l(r:p10udm19002-p10udm19011);(-122.81376231990201,38.56914186025422) l(r:p10udm19002-p10udm19010);(-122.81377403160563,38.56905175875804) l(r:p10udm19004-p10udm19010);(-122.81377426421751,38.568961638997926) l(r:p10udm19004-p10udt5540lv);(-122.81378597587606,38.568871537497635) l(r:p10udm19009-p10ulv19201);(-122.81379792008498,38.568691316230314);(-122.81344207049956,38.56869074964763) l(r:p10udm19007-p10ulv19034);(-122.81382134322278,38.568511113213674);(-122.8143952232057,38.56853906059008) l(r:p10udm19007-p10ulv19195);(-122.81382134322278,38.568511113213674);(-122.81341957856547,38.56851047352763) l(r:p10udm19006-p10ulv19025);(-122.81385671020888,38.56815068889589);(-122.81409772136554,38.56816909592478) l(r:p10udm19006-p10udm19007);(-122.81383305474712,38.56842101170141);(-122.81383328728005,38.56833089193124);(-122.81384499875931,38.568240790414876);(-122.81385671020888,38.56815068889589) l(r:p10udm19007-p10udm19009);(-122.81380963166873,38.568601214723316);(-122.81382134322278,38.568511113213674) l(r:p10udm19009-p10udt5540lv);(-122.81379792008498,38.568691316230314) l(r:p10udt5556lv-p10ulv19023);(-122.81388034224226,38.56788937805044);(-122.81447719827011,38.56790834953746) l(r:p10udt5626lv-p10ulv19275);(-122.79861353220484,38.55960008710652);(-122.7984643993865,38.559572794385446) l(r:p10udt5626lv-p10ulv19415);(-122.79861353220484,38.55960008710652);(-122.79877429346197,38.559573327471924) l(r:p10udm19185-p10ulv19216);(-122.7986023061201,38.559509947536334);(-122.79882035441935,38.559519334482076) l(r:p10udm19185-p10ulv19260);(-122.7986023061201,38.559509947536334);(-122.79846465103604,38.559482674560684) l(r:p10udm19192-p10ulv19398);(-122.79860278392499,38.55933871986481);(-122.79847643054067,38.55937455052758) l(r:p10udm19186-p10ulv19228);(-122.79860303539962,38.55924860003568);(-122.7984537271487,38.55928439118185) l(r:p10udm19186-p10ulv19342);(-122.79860303539962,38.55924860003568);(-122.7987867006334,38.559239903835014) l(r:p10udm19186-p10udm19192);(-122.79860303539962,38.55924860003568) l(r:p10udm19185-p10udm19192);(-122.79860253244924,38.55942883969254);(-122.79860278392499,38.55933871986481) l(r:p10udm19185-p10udt5626lv);(-122.7986023061201,38.559509947536334) l(r:p10udm19191-p10ulv19384);(-122.79861328074017,38.55969020693027);(-122.7987855197711,38.55966346702524) l(r:p10udm19189-p10ulv19298);(-122.798613054421,38.55977131477047);(-122.7984752981795,38.5597800897358) l(r:p10udm19194-p10ulv19425);(-122.79863560729918,38.55991554597083);(-122.79846346827347,38.55990623772492) l(r:p10udm19194-p10ulv19482);(-122.79863560729918,38.55991554597083);(-122.79883082719707,38.55987983350224) l(r:p10udm19195-p10ulv19458);(-122.79864688377161,38.559987661568144);(-122.79846319145311,38.560005369524866) l(r:p10udm19194-p10udm19195);(-122.79864688377161,38.559987661568144) l(r:p10udm19189-p10udm19194);(-122.79862433084898,38.55984343037162);(-122.79863560729918,38.55991554597083) l(r:p10udm19189-p10udm19191);(-122.798613054421,38.55977131477047) l(r:p10udm19191-p10udt5626lv);(-122.79861328074017,38.55969020693027) l(r:p10udt5631lv-p10ulv19407);(-122.8007056091707,38.55845913994465);(-122.80067155034848,38.558323901541094) l(r:p10udm19218-p10ulv19221);(-122.80079742823247,38.55845929622007);(-122.80076349362204,38.558278997914606) l(r:p10udm19218-p10ulv19242);(-122.80079742823247,38.55845929622007);(-122.80084286528916,38.55863060205155) l(r:p10udm19219-p10ulv19232);(-122.8009007495376,38.558450459958365);(-122.80117590878955,38.5585590719359) l(r:p10udm19219-p10ulv19245);(-122.8009007495376,38.558450459958365);(-122.80096916650307,38.55861279279395) l(r:p10udm19219-p10ulv19417);(-122.8009007495376,38.558450459958365);(-122.80113037166181,38.55842381417229) l(r:p10udm19219-p10ulv19419);(-122.8009007495376,38.558450459958365);(-122.80105040263632,38.5582884978782) l(r:p10udm19218-p10udm19219);(-122.8009007495376,38.558450459958365) l(r:p10udm19218-p10udt5631lv);(-122.80079742823247,38.55845929622007) l(r:p10udm19224-p10ulv19373);(-122.80060231272705,38.55845896404873);(-122.80060193926984,38.55859414382673) l(r:p10udm19222-p10ulv19321);(-122.80049901628432,38.55845878806165);(-122.80039547061335,38.55854873183466) l(r:p10udm19222-p10ulv19349);(-122.80049901628432,38.55845878806165);(-122.80048721505275,38.558575924308876) l(r:p10udm19225-p10ulv19386);(-122.80033860716037,38.558359382285225);(-122.80025791643456,38.558485413026) l(r:p10udm19229-p10ulv19449);(-122.80018967582927,38.55825999587848);(-122.80009768230505,38.558322923024065) l(r:p10udm19225-p10udm19229);(-122.80025839029429,38.558314185307076);(-122.80018967582927,38.55825999587848) l(r:p10udm19222-p10udm19225);(-122.80041879920711,38.55841359119362);(-122.80033860716037,38.558359382285225) l(r:p10udm19222-p10udm19224);(-122.80049901628432,38.55845878806165) l(r:p10udm19224-p10udt5631lv);(-122.80060231272705,38.55845896404873) l(r:p10udm19263-p10ulv19337);(-122.79902945973598,38.55861849098607);(-122.7990749930442,38.55875374955206) l(r:p10udm19267-p10ulv19874);(-122.7991213793195,38.558582600639596);(-122.7990298110452,38.558492323206025) l(r:p10udm19261-p10ulv19231);(-122.79921332388238,38.55853769823605);(-122.79927028477373,38.55869100038197) l(r:p10udm19270-p10ulv20057);(-122.79929379094405,38.55849277608287);(-122.79922520238122,38.5583935261682) l(r:p10udm19268-p10ulv19961);(-122.79937425790567,38.55844785387375);(-122.79931717169595,38.55833961169607) l(r:p10udm19262-p10ulv19304);(-122.79946620214012,38.558402951269684);(-122.79958065054942,38.55852030361917) l(r:p10udm19262-p10udm19268);(-122.79946620214012,38.558402951269684) l(r:p10udm19268-p10udm19270);(-122.79937425790567,38.55844785387375) l(r:p10udm19261-p10udm19270);(-122.79929379094405,38.55849277608287) l(r:p10udm19261-p10udm19267);(-122.79921332388238,38.55853769823605) l(r:p10udm19263-p10udm19267);(-122.7991213793195,38.558582600639596) l(r:p10udm19263-p10udt5639lv);(-122.79902945973598,38.55861849098607) l(r:p10udm19264-p10ulv19409);(-122.79885712282871,38.55868127919792);(-122.79887977631367,38.55878946244332) l(r:p10udm19269-p10ulv19964);(-122.79839784996183,38.55874357337363);(-122.79840962944097,38.55863544933353) l(r:p10udm19265-p10ulv19410);(-122.79860376466969,38.55898725252333);(-122.79879893182482,38.55896956406246) l(r:p10udm19265-p10ulv19466);(-122.79860376466969,38.55898725252333);(-122.79847746222768,38.55900505922444) l(r:p10udm19260-p10ulv19230);(-122.79860351319832,38.5590773723565);(-122.79846573311619,38.55909515929839) l(r:p10udm19260-p10udm19265);(-122.79860351319832,38.5590773723565) l(r:p10udm19265-p10udm19269);(-122.79848969453357,38.55873471947635);(-122.79859276502427,38.55881600509081);(-122.79859251354068,38.55890612492655);(-122.79860376466969,38.55898725252333) l(r:p10udm19264-p10udm19269);(-122.79876525324215,38.55869914536779);(-122.79868488616935,38.558708019219246);(-122.7985930165067,38.55872588525366);(-122.79849093699607,38.55874367852208);(-122.79839784996183,38.55874357337363) l(r:p10udm19264-p10udt5639lv);(-122.79885712282871,38.55868127919792) l(r:p10udm19368-p10ulv19268);(-122.80138478350057,38.557730319893146);(-122.80128138884916,38.557766192546374) l(r:p10udm19371-p10ulv19933);(-122.80144197150419,38.55780251313392);(-122.80159139908588,38.55772165822051) l(r:p10udm19368-p10udm19371);(-122.80144197150419,38.55780251313392) l(r:p10udm19368-p10udt5659lv);(-122.80133904806253,38.557667158087845);(-122.80138478350057,38.557730319893146) l(r:p10udm19369-p10ulv19450);(-122.80088003236924,38.55763934216795);(-122.80096005006442,38.557756634617526) l(r:p10udm19369-p10udt5659lv);(-122.80127058152577,38.557522849416586);(-122.80116721194143,38.55754970998386);(-122.80107529468587,38.55758560194931);(-122.80098340218784,38.55761248185531);(-122.80088003236924,38.55763934216795) l(r:p10udm19372-p10ulv19953);(-122.80155788403718,38.55738815633286);(-122.80146633900407,38.55728886879494) l(r:p10udm19372-p10ulv20008);(-122.80155788403718,38.55738815633286);(-122.80163785302541,38.55752347230102) l(r:p10udm19370-p10ulv19859);(-122.8017417422305,38.55730735957876);(-122.80185621735941,38.557415697743295) l(r:p10udm19370-p10udm19372);(-122.80164982556782,38.55734325199838);(-122.8017417422305,38.55730735957876) l(r:p10udm19372-p10udt5659lv);(-122.80125982634371,38.55752599549339);(-122.80136252340614,38.55747794530971);(-122.80145446517227,38.55743304112997);(-122.80155788403718,38.55738815633286) l(r:p10udt5678lv-p10ulv20031);(-122.80047795486226,38.55777383802293);(-122.80044394661685,38.55762057556631) l(r:p10udm19433-p10ulv19379);(-122.80058134992083,38.557737966080445);(-122.80062693537862,38.557855200097094) l(r:p10udm19433-p10ulv19955);(-122.80058134992083,38.557737966080445);(-122.80053583932423,38.55759369608437) l(r:p10udm19436-p10ulv19481);(-122.80068474487697,38.55770209404617);(-122.80073030559994,38.55782834001002) l(r:p10udm19433-p10udm19436);(-122.80068474487697,38.55770209404617) l(r:p10udm19433-p10udt5678lv);(-122.80058134992083,38.557737966080445) l(r:p10udm19435-p10ulv19477);(-122.80037458462613,38.557800697887416);(-122.80044312437973,38.55791797111361) l(r:p10udm19437-p10ulv19870);(-122.80027118937556,38.557836569646355);(-122.80020267492478,38.55771028433257) l(r:p10udm19434-p10ulv19468);(-122.80017924636219,38.55788147289032);(-122.80027074049238,38.55799878539361) l(r:p10udm19434-p10udm19437);(-122.80017924636219,38.55788147289032) l(r:p10udm19435-p10udm19437);(-122.80027118937556,38.557836569646355) l(r:p10udm19435-p10udt5678lv);(-122.80037458462613,38.557800697887416) l(r:p10udm19447-p10ulv19421);(-122.79961573325059,38.5582860509558);(-122.79977614121209,38.55838545772087) l(r:p10udm19447-p10ulv19876);(-122.79961573325059,38.5582860509558);(-122.79948973271104,38.55819571493468) l(r:p10udm19447-p10udt5681lv);(-122.79961573325059,38.5582860509558) l(r:p10udm19448-p10ulv19842);(-122.79970867746317,38.55788066874281);(-122.79953621775827,38.557988517896234) l(r:p10udm19448-p10udt5681lv);(-122.79976528887804,38.558160138462);(-122.79983432783376,38.558097172329944);(-122.79991484398353,38.558034225773);(-122.79984610505188,38.5579890481272);(-122.79977739120594,38.55793485845528);(-122.79970867746317,38.55788066874281) l(r:p10udt5689lv-p10ulv19498);(-122.82308004924342,38.55177211325756);(-122.82334413724685,38.5517184398001) l(r:p10udt5689lv-p10ulv19572);(-122.82308004924342,38.55177211325756);(-122.82334360799159,38.55193472797555) l(r:p10udm19463-p10ulv19527);(-122.82298823856982,38.55177197447905);(-122.82295336754636,38.55195216255986) l(r:p10udm19463-p10ulv19569);(-122.82298823856982,38.55177197447905);(-122.82301194242129,38.55146560092647) l(r:p10udm19464-p10ulv19551);(-122.82289640578873,38.55178084763572);(-122.82288532742365,38.55161861414324) l(r:p10udm19463-p10udm19464);(-122.82289640578873,38.55178084763572) l(r:p10udm19463-p10udt5689lv);(-122.82298823856982,38.55177197447905) l(r:p10udt5690lv-p10ulv19499);(-122.8250324113183,38.55593860873925);(-122.82504419412138,38.5558124578653) l(r:p10udt5690lv-p10ulv19574);(-122.8250324113183,38.55593860873925);(-122.82484915110281,38.555785130116696) l(r:p10udm19469-p10ulv19701);(-122.8249748733657,38.55600160697219);(-122.82504371356946,38.55601072190551) l(r:p10udm19469-p10udt5690lv);(-122.8249748733657,38.55600160697219) l(r:p10udm19470-p10ulv19703);(-122.82523901910004,38.5559299053088);(-122.82521637061106,38.55580370301339) l(r:p10udm19470-p10ulv19734);(-122.82523901910004,38.5559299053088);(-122.82521584688844,38.55601999105922) l(r:p10udm19470-p10udt5690lv);(-122.82513572613114,38.555929751068696);(-122.82523901910004,38.5559299053088) l(r:p10udt5691lv-p10ulv19619);(-122.82638975330228,38.5546699324776);(-122.82638944986134,38.554796100537686) l(r:p10udm19472-p10ulv19501);(-122.82606818584662,38.554759575533694);(-122.8258959467384,38.55479536765798) l(r:p10udm19472-p10udt5691lv);(-122.82628646213,38.554669779257);(-122.82617169416176,38.554669608905);(-122.82606818584662,38.554759575533694) l(r:p10udm19475-p10ulv19707);(-122.82649304447531,38.55467008560707);(-122.82651636626294,38.554516915545804) l(r:p10udm19473-p10ulv19590);(-122.8266078124463,38.55467025564403);(-122.8266311123465,38.55452609756407) l(r:p10udm19473-p10ulv19755);(-122.8266078124463,38.55467025564403);(-122.82656160211774,38.554796355703985) l(r:p10udm19473-p10udm19475);(-122.8266078124463,38.55467025564403) l(r:p10udm19475-p10udt5691lv);(-122.82649304447531,38.55467008560707) l(r:p10udm19477-p10ulv19502);(-122.82266648944963,38.5566109513601);(-122.82259791476945,38.55649369103327) l(r:p10udm19479-p10ulv19623);(-122.8229536822921,38.556503241640186);(-122.82291953844937,38.556386033564486) l(r:p10udm19477-p10udm19479);(-122.82275839479293,38.55657504240686);(-122.82286177713695,38.55653915074763);(-122.8229536822921,38.556503241640186) l(r:p10udm19477-p10udt5692lv);(-122.82266648944963,38.5566109513601) l(r:p10udm19478-p10ulv19567);(-122.82247120137478,38.55668275164499);(-122.82240262694455,38.556565491205816) l(r:p10udm19480-p10ulv19693);(-122.82227593510194,38.55674553960312);(-122.82225331373769,38.55661032476447) l(r:p10udm19478-p10udm19480);(-122.82237931792874,38.55670964837212);(-122.82227593510194,38.55674553960312) l(r:p10udm19478-p10udt5692lv);(-122.82247120137478,38.55668275164499) l(r:p10udm19481-p10ulv19503);(-122.82703247554136,38.55466187179785);(-122.82704362844726,38.55479706882288) l(r:p10udm19482-p10ulv19604);(-122.82681439479649,38.55467056142705);(-122.82680261528036,38.55479671251151) l(r:p10udm19481-p10udm19482);(-122.82692916277017,38.554670731149);(-122.82681439479649,38.55467056142705) l(r:p10udm19481-p10udt5693lv);(-122.82703247554136,38.55466187179785) l(r:p10udm19483-p10ulv19736);(-122.82725092286955,38.554499977678226);(-122.82710166009848,38.554526793443536) l(r:p10udm19483-p10ulv20577);(-122.82725092286955,38.554499977678226);(-122.82742303133728,38.55451825558636) l(r:p10udm19483-p10udt5693lv);(-122.82725053466842,38.554662193767086);(-122.82725072876939,38.55458108572323);(-122.82725092286955,38.554499977678226) l(r:p10udm19485-p10ulv19504);(-122.82607393984837,38.552371393959014);(-122.82624619477176,38.552326589536285) l(r:p10udm19486-p10ulv19509);(-122.82629283774199,38.55202024937922);(-122.8262701669234,38.55190305921037) l(r:p10udm19485-p10udm19486);(-122.82607415696718,38.55228127388047);(-122.826074374085,38.552191153800514);(-122.82607456949022,38.55211004572736);(-122.8260747866062,38.55201992564476);(-122.82617807398586,38.55202007904328);(-122.82629283774199,38.55202024937922) l(r:p10udm19485-p10udt5694lv);(-122.82607393984837,38.552371393959014) l(r:p10udm19488-p10ulv19589);(-122.8260733101984,38.55263274217898);(-122.8262225911211,38.55259691570029) l(r:p10udm19487-p10ulv19526);(-122.82607311478812,38.55271385024488);(-122.8262796264743,38.55274119298038) l(r:p10udm19489-p10ulv19759);(-122.82587799279445,38.552722572243475);(-122.825843845965,38.552605364937804) l(r:p10udm19487-p10udm19489);(-122.82587799279445,38.552722572243475) l(r:p10udm19487-p10udm19488);(-122.82607311478812,38.55271385024488) l(r:p10udm19488-p10udt5694lv);(-122.82607352732,38.552542622104454);(-122.8260733101984,38.55263274217898) l(r:p10udt5695lv-p10ulv19505);(-122.82576698270638,38.55592168022166);(-122.82574381115597,38.55601176607827) l(r:p10udt5695lv-p10ulv19698);(-122.82576698270638,38.55592168022166);(-122.82573283456377,38.555804472944665) l(r:p10udm19491-p10ulv19519);(-122.82556037500831,38.55593038458409);(-122.82554918115376,38.55581321145458) l(r:p10udm19491-p10ulv19633);(-122.82556037500831,38.55593038458409);(-122.82557163423091,38.55602052170456) l(r:p10udm19491-p10udt5695lv);(-122.82566366798045,38.5559305384495);(-122.82556037500831,38.55593038458409) l(r:p10udm19492-p10ulv19612);(-122.82607666616191,38.55600324901777);(-122.82629477270446,38.55598554877214) l(r:p10udm19492-p10udt5695lv);(-122.8258702756672,38.55592183390477);(-122.8259735686288,38.55592198749674);(-122.82607686159119,38.55592214099758);(-122.82607666616191,38.55600324901777) l(r:p10udm19495-p10ulv19506);(-122.82219608299388,38.55188793061364);(-122.82216200913521,38.55174368621203) l(r:p10udm19498-p10ulv19635);(-122.82254055078232,38.55181635693763);(-122.82249499995024,38.551672095233386) l(r:p10udm19495-p10udm19498);(-122.82226500766772,38.55186099915031);(-122.82235686280201,38.55184311448267);(-122.82244871789106,38.55182522974279);(-122.82254055078232,38.55181635693763) l(r:p10udm19499-p10ulv19750);(-122.82237943855553,38.55199635342173);(-122.82225297660577,38.552086281890794) l(r:p10udm19495-p10udm19499);(-122.82225989513415,38.55185293077463);(-122.82232221196811,38.55193318230358);(-122.82237943855553,38.55199635342173) l(r:p10udm19495-p10udt5696lv);(-122.82219608299388,38.55188793061364) l(r:p10udm19497-p10ulv19606);(-122.82204680156028,38.55192375196117);(-122.82202367110729,38.551995813122296) l(r:p10udm19496-p10ulv19545);(-122.82177152471877,38.551860248932336);(-122.82170253309091,38.55191421612388) l(r:p10udm19496-p10udm19497);(-122.82195503515047,38.551905588358935);(-122.82186329102427,38.551878412678306);(-122.82177152471877,38.551860248932336) l(r:p10udm19497-p10udt5696lv);(-122.82204680156028,38.55192375196117) l(r:p10udt5697lv-p10ulv19643);(-122.82630757252203,38.55543583363349);(-122.82633082991029,38.55530969964093) l(r:p10udm19501-p10ulv19520);(-122.82642234170935,38.55543600385511);(-122.82645705412959,38.55531889885636) l(r:p10udm19501-p10ulv19600);(-122.82642234170935,38.55543600385511);(-122.826422059988,38.55555315989582) l(r:p10udm19500-p10ulv19508);(-122.82653708924116,38.55544518596748);(-122.82655980489135,38.555544352011) l(r:p10udm19500-p10udm19501);(-122.82653708924116,38.55544518596748) l(r:p10udm19501-p10udt5697lv);(-122.82642234170935,38.55543600385511) l(r:p10udm19502-p10ulv19562);(-122.82566523510098,38.55528167426252);(-122.8257344010555,38.55515560874126) l(r:p10udm19502-p10udt5697lv);(-122.82619280333567,38.555435663299335);(-122.82607805586409,38.555426480849626);(-122.8260091943619,38.55542637852766);(-122.82592887767557,38.55541724709785);(-122.82584856100976,38.555408115612956);(-122.8257912851877,38.555362970220024);(-122.82572253253772,38.55531780771434);(-122.82566523510098,38.55528167426252) l(r:p10udt5698lv-p10ulv19656);(-122.82727607586553,38.553580786956005);(-122.82713831321414,38.5535986077019) l(r:p10udt5698lv-p10ulv20574);(-122.82727607586553,38.553580786956005);(-122.82743670552176,38.55359904790909) l(r:p10udm19508-p10ulv19669);(-122.82727586023712,38.55367090702023);(-122.82713816215386,38.55366169174636) l(r:p10udm19511-p10ulv19728);(-122.82727566617072,38.553752015076846);(-122.82677062863317,38.55377830498615) l(r:p10udm19510-p10ulv19708);(-122.82727525647243,38.55392324319269);(-122.82712603806725,38.55393203498276) l(r:p10udm19509-p10ulv19706);(-122.82727506240361,38.55400435124581);(-122.82712593015815,38.55397709501199) l(r:p10udm19509-p10udm19510);(-122.82727506240361,38.55400435124581) l(r:p10udm19510-p10udm19511);(-122.82727545054048,38.55384213513845);(-122.82727525647243,38.55392324319269) l(r:p10udm19508-p10udm19511);(-122.82727566617072,38.553752015076846) l(r:p10udm19508-p10udt5698lv);(-122.82727586023712,38.55367090702023) l(r:p10udm19512-p10ulv20458);(-122.82727626993028,38.55349967889699);(-122.827437028662,38.553463867809384) l(r:p10udm19515-p10ulv20580);(-122.82727648555685,38.55340955883012);(-122.82743717946003,38.553400783761795) l(r:p10udm19506-p10ulv19534);(-122.82727670118247,38.55331943876184);(-122.82713904692874,38.55329219947625) l(r:p10udm19505-p10ulv19510);(-122.82727689524471,38.553238330699195);(-122.8271276566747,38.553256134502625) l(r:p10udm19505-p10ulv20478);(-122.82727689524471,38.553238330699195);(-122.82742604756659,38.55325657473368) l(r:p10udm19505-p10udm19506);(-122.82727689524471,38.553238330699195) l(r:p10udm19506-p10udm19515);(-122.82727670118247,38.55331943876184) l(r:p10udm19512-p10udm19515);(-122.82727648555685,38.55340955883012) l(r:p10udm19512-p10udt5698lv);(-122.82727626993028,38.55349967889699) l(r:p10udt5699lv-p10ulv19699);(-122.82367268045195,38.55346727073722);(-122.82345433845815,38.55358409817402) l(r:p10udm19516-p10ulv19511);(-122.82347810706672,38.553250688603335);(-122.8235586192665,38.553178713664046) l(r:p10udm19516-p10ulv19608);(-122.82347810706672,38.553250688603335);(-122.8233401235859,38.553358624930475) l(r:p10udm19517-p10ulv19523);(-122.82342090056949,38.55317850602589);(-122.82324842122038,38.55331342632647) l(r:p10udm19523-p10ulv19746);(-122.8232950113827,38.55303412346361);(-122.82344427246063,38.55300731254081) l(r:p10udm19517-p10udm19523);(-122.82335221763995,38.553106306103786);(-122.8232950113827,38.55303412346361) l(r:p10udm19516-p10udm19517);(-122.82342090056949,38.55317850602589) l(r:p10udm19516-p10udt5699lv);(-122.82360399699643,38.55339507096515);(-122.82354679025921,38.553322888450424);(-122.82347810706672,38.553250688603335) l(r:p10udm19521-p10ulv19653);(-122.82372988742914,38.553539453189224);(-122.82383328693265,38.553494548636955) l(r:p10udm19524-p10ulv19747);(-122.82392446234635,38.5537560348976);(-122.82377489189427,38.55390901448756) l(r:p10udm19518-p10ulv19571);(-122.82400473298368,38.55378319170942);(-122.82393547741623,38.553945304251776) l(r:p10udm19519-p10ulv19607);(-122.8241767291534,38.55384653440924);(-122.82416487931425,38.55399972124863) l(r:p10udm19518-p10udm19519);(-122.82409645838058,38.55381937771533);(-122.8241767291534,38.55384653440924) l(r:p10udm19518-p10udm19524);(-122.82400473298368,38.55378319170942) l(r:p10udm19521-p10udm19524);(-122.82379857114765,38.55361165288629);(-122.82385577836483,38.553683835275564);(-122.82392446234635,38.5537560348976) l(r:p10udm19521-p10udt5699lv);(-122.82372988742914,38.553539453189224) l(r:p10udm19530-p10ulv20490);(-122.82738255476448,38.55224716217916);(-122.8275431384252,38.55228344700075) l(r:p10udm19529-p10ulv19513);(-122.82733645512675,38.5523282025619);(-122.82722184185486,38.5522649491887) l(r:p10udm19529-p10udm19530);(-122.82733645512675,38.5523282025619) l(r:p10udm19530-p10udt5701lv);(-122.82742863275575,38.55217513378565);(-122.82738255476448,38.55224716217916) l(r:p10udm19532-p10ulv20561);(-122.82740593849951,38.55206695584432);(-122.82748646700415,38.551985966167926) l(r:p10udm19531-p10ulv20555);(-122.82723387901174,38.552030653899315);(-122.82728004325628,38.551922577525566) l(r:p10udm19531-p10udm19532);(-122.82733712330514,38.55204883029308);(-122.82723387901174,38.552030653899315) l(r:p10udm19532-p10udt5701lv);(-122.82740593849951,38.55206695584432) l(r:p10udm19533-p10ulv20587);(-122.82768111357944,38.552175505680516);(-122.82772723423794,38.55208545314981) l(r:p10udm19533-p10udt5701lv);(-122.8275779335893,38.55213029356331);(-122.82768111357944,38.552175505680516) l(r:p10udt5702lv-p10ulv20307);(-122.81965125404639,38.555308612271006);(-122.81967454553184,38.55517346762576) l(r:p10udm19534-p10ulv19515);(-122.81976604549189,38.55529977701741);(-122.81976575296865,38.55541693301872) l(r:p10udm19534-p10udt5702lv);(-122.81976604549189,38.55529977701741) l(r:p10udm19535-p10ulv19599);(-122.81953648510361,38.55530843541192);(-122.81951323838202,38.555425556026115) l(r:p10udm19535-p10udt5702lv);(-122.81953648510361,38.55530843541192) l(r:p10udt5703lv-p10ulv19674);(-122.82376923753442,38.556225099134295);(-122.82364334235976,38.55608071700758) l(r:p10udm19538-p10ulv19525);(-122.82356247454088,38.556296883971925);(-122.8234823335105,38.556215654858924) l(r:p10udm19537-p10ulv19516);(-122.82304662572571,38.55604376844471);(-122.82320741427671,38.5559989511984) l(r:p10udm19544-p10ulv19636);(-122.82298939535822,38.55598059768741);(-122.82289744666448,38.556034530828946) l(r:p10udm19546-p10ulv19658);(-122.82288638979395,38.5558632854523);(-122.82303574525145,38.5558004270738) l(r:p10udm19544-p10udm19546);(-122.822943642084,38.55591744425998);(-122.82288638979395,38.5558632854523) l(r:p10udm19537-p10udm19544);(-122.82298939535822,38.55598059768741) l(r:p10udm19537-p10udm19538);(-122.82345909289035,38.55633277625309);(-122.82335573319165,38.55635965644218);(-122.82325235134898,38.55639554853994);(-122.82320661965564,38.55632338321831);(-122.82317236509996,38.55625123521416);(-122.82312663357757,38.5561790698599);(-122.82308088005794,38.55611591648768);(-122.82304662572571,38.55604376844471) l(r:p10udm19538-p10udt5703lv);(-122.82366585608892,38.55626099159898);(-122.82356247454088,38.556296883971925) l(r:p10udm19543-p10ulv19581);(-122.82388402994944,38.55621625984652);(-122.82382717263205,38.55599988548164) l(r:p10udm19539-p10ulv19532);(-122.82394132723124,38.55625239416399);(-122.82387226704046,38.5563333985894) l(r:p10udm19539-p10ulv19565);(-122.82394132723124,38.55625239416399);(-122.8241483531862,38.55607246464276) l(r:p10udm19540-p10ulv19546);(-122.82405585606621,38.55635169871661);(-122.82421671052316,38.55627984408417) l(r:p10udm19540-p10ulv19577);(-122.82405585606621,38.55635169871661);(-122.82395234270105,38.55644166343668) l(r:p10udm19545-p10ulv19639);(-122.82411304374224,38.55643289295266);(-122.82425092225212,38.55637001578642) l(r:p10udm19540-p10udm19545);(-122.82411304374224,38.55643289295266) l(r:p10udm19539-p10udm19540);(-122.82398716949314,38.556279499197565);(-122.82405585606621,38.55635169871661) l(r:p10udm19539-p10udm19543);(-122.82394132723124,38.55625239416399) l(r:p10udm19543-p10udt5703lv);(-122.82382662274763,38.556225185504864);(-122.82388402994944,38.55621625984652) l(r:p10udm19549-p10ulv19518);(-122.82685682280706,38.55133616969769);(-122.82684504393123,38.551462320859876) l(r:p10udm19552-p10ulv19741);(-122.82652401106066,38.55133567702415);(-122.82654668211681,38.55145286715578) l(r:p10udm19551-p10ulv19717);(-122.82640924839136,38.551335506917354);(-122.8263975120754,38.551443634013985) l(r:p10udm19551-p10udm19552);(-122.82640924839136,38.551335506917354) l(r:p10udm19549-p10udm19552);(-122.82674206013496,38.55133599991713);(-122.82663877373089,38.55133584701846);(-122.82652401106066,38.55133567702415) l(r:p10udm19549-p10udt5705lv);(-122.82685682280706,38.55133616969769) l(r:p10udm19550-p10ulv19657);(-122.82708634815415,38.55133650892131);(-122.82712041008752,38.55148976393206) l(r:p10udm19553-p10ulv20539);(-122.82731587350509,38.55133684769496);(-122.82730407387344,38.55147201091495) l(r:p10udm19554-p10ulv20598);(-122.82743063618199,38.55133701691303);(-122.82743031305438,38.55147219706191) l(r:p10udm19553-p10udm19554);(-122.82743063618199,38.55133701691303) l(r:p10udm19550-p10udm19553);(-122.82720111082912,38.551336678364386);(-122.82731587350509,38.55133684769496) l(r:p10udm19550-p10udt5705lv);(-122.82708634815415,38.55133650892131) l(r:p10udm19557-p10ulv19524);(-122.82537547854272,38.55645280691071);(-122.82539862889689,38.556371733136515) l(r:p10udm19558-p10ulv19564);(-122.82512320112697,38.556362309997475);(-122.82522651653561,38.55635345224885) l(r:p10udm19557-p10udm19558);(-122.82527220664001,38.55644364078816);(-122.82516893476385,38.55643447457453);(-122.82512320112697,38.556362309997475) l(r:p10udm19557-p10udt5707lv);(-122.82537547854272,38.55645280691071) l(r:p10udm19559-p10ulv19603);(-122.82558202242791,38.55647113888268);(-122.82557074134631,38.5563900137713) l(r:p10udm19560-p10ulv19614);(-122.82577711108567,38.55648044140952);(-122.82574294090936,38.55637224614748) l(r:p10udm19559-p10udm19560);(-122.82567383909104,38.55647127564107);(-122.82577711108567,38.55648044140952) l(r:p10udm19559-p10udt5707lv);(-122.82558202242791,38.55647113888268) l(r:p10udm19564-p10ulv19671);(-122.82239267989935,38.555943645793434);(-122.82233500655445,38.55606071472536) l(r:p10udm19563-p10ulv19661);(-122.82248449587577,38.55594378505161);(-122.82246185220055,38.5558175822351) l(r:p10udm19566-p10ulv19720);(-122.82256485700847,38.555934894842856);(-122.8225531584699,38.55602499745253) l(r:p10udm19562-p10ulv19618);(-122.82277219524588,38.555628799737086);(-122.82265740363344,38.55563763793747) l(r:p10udm19562-p10udm19566);(-122.82264521812162,38.55592600457887);(-122.82272560134786,38.55590810225898);(-122.82285215789207,38.555782125349076);(-122.82281792606685,38.55570096523492);(-122.82277219524588,38.555628799737086) l(r:p10udm19563-p10udm19566);(-122.82256485700847,38.555934894842856) l(r:p10udm19563-p10udm19564);(-122.82248449587577,38.55594378505161) l(r:p10udm19564-p10udt5708lv);(-122.82239267989935,38.555943645793434) l(r:p10udm19565-p10ulv19719);(-122.82218609395468,38.55594333219928);(-122.82215119666972,38.556132531902925) l(r:p10udm19561-p10ulv19528);(-122.82209427798033,38.555943192707076);(-122.82176135606603,38.555978734444835) l(r:p10udm19561-p10ulv19743);(-122.82209427798033,38.555943192707076);(-122.8218181849243,38.556204121798714) l(r:p10udm19561-p10udm19565);(-122.82209427798033,38.555943192707076) l(r:p10udm19565-p10udt5708lv);(-122.82218609395468,38.55594333219928) l(r:p10udm19568-p10ulv19529);(-122.82573762090797,38.5538218320965);(-122.8257149286787,38.55371365386261) l(r:p10udm19569-p10ulv19594);(-122.82572527397953,38.55418229521699);(-122.82569071325537,38.5542363159873) l(r:p10udm19571-p10ulv19710);(-122.82561050678974,38.55418212431667);(-122.82564517638181,38.55408304354509) l(r:p10udm19569-p10udm19571);(-122.82561050678974,38.55418212431667) l(r:p10udm19568-p10udm19569);(-122.82572527397953,38.55418229521699) l(r:p10udm19568-p10udt5709lv);(-122.82573762090797,38.5538218320965) l(r:p10udm19570-p10ulv19678);(-122.82550808767535,38.55382149021023);(-122.8255313459291,38.55369535634371) l(r:p10udm19570-p10udt5709lv);(-122.82550808767535,38.55382149021023) l(r:p10udt5710lv-p10ulv19690);(-122.82466724884328,38.55507290704954);(-122.82452939508305,38.55512677272401) l(r:p10udm19572-p10ulv19530);(-122.8246447548639,38.55488362060578);(-122.82488581201771,38.554865957353464) l(r:p10udm19572-p10ulv19597);(-122.8246447548639,38.55488362060578);(-122.8243923084568,38.55486521813422) l(r:p10udm19572-p10ulv19637);(-122.8246447548639,38.55488362060578);(-122.82489752931274,38.55476684248194) l(r:p10udm19572-p10ulv19714);(-122.8246447548639,38.55488362060578);(-122.82441550324016,38.554766120527255) l(r:p10udm19572-p10udt5710lv);(-122.82465592522061,38.55500980583963);(-122.82465607845745,38.55494672181806);(-122.8246447548639,38.55488362060578) l(r:p10udm19573-p10ulv19539);(-122.824724414318,38.555163113006756);(-122.82459799351366,38.555235019948604) l(r:p10udm19575-p10ulv19570);(-122.82480464307585,38.55520829327358);(-122.8246781784949,38.555298224306746) l(r:p10udm19574-p10ulv19558);(-122.82491941189221,38.555208464967734);(-122.8248730670836,38.555388636357414) l(r:p10udm19574-p10ulv19754);(-122.82491941189221,38.555208464967734);(-122.8249311291985,38.555109350098675) l(r:p10udm19579-p10ulv19697);(-122.82502270382777,38.555208619396275);(-122.8249879454363,38.55534374797194) l(r:p10udm19574-p10udm19579);(-122.82502270382777,38.555208619396275) l(r:p10udm19574-p10udm19575);(-122.82491941189221,38.555208464967734) l(r:p10udm19573-p10udm19575);(-122.82480464307585,38.55520829327358) l(r:p10udm19573-p10udt5710lv);(-122.82470156999639,38.55511801862446);(-122.824724414318,38.555163113006756) l(r:p10udm19587-p10ulv20081);(-122.82043170530244,38.555300799928496);(-122.8204321536728,38.555120559915316) l(r:p10udm19589-p10ulv20200);(-122.82054649664302,38.55529196390936);(-122.82056976404631,38.55516583108235) l(r:p10udm19583-p10ulv19547);(-122.82054607095989,38.555463191918065);(-122.82070681498662,38.555436402103204) l(r:p10udm19583-p10ulv19694);(-122.82054607095989,38.555463191918065);(-122.82040830308365,38.55548100472609) l(r:p10udm19583-p10udm19589);(-122.82054627259971,38.555382083914566);(-122.82054607095989,38.555463191918065) l(r:p10udm19588-p10ulv20199);(-122.82087930415956,38.55530148561987);(-122.82087966196207,38.55515729360624) l(r:p10udm19588-p10udm19589);(-122.82066124317599,38.55530115177886);(-122.82077601211425,38.5553013275353);(-122.82087930415956,38.55530148561987) l(r:p10udm19587-p10udm19589);(-122.82054649664302,38.55529196390936) l(r:p10udm19587-p10udt5711lv);(-122.82043170530244,38.555300799928496) l(r:p10udm19590-p10ulv20319);(-122.8202136443263,38.55530046525377);(-122.82018044838871,38.55480475233044) l(r:p10udm19586-p10ulv20077);(-122.82009887539294,38.555300288946064);(-122.82009925721438,38.55514708493798) l(r:p10udm19582-p10ulv19531);(-122.81998410646058,38.55530011252582);(-122.81999526872987,38.55542629817582) l(r:p10udm19584-p10ulv19573);(-122.81930694722111,38.55530808135621);(-122.81929517704,38.555425219639716) l(r:p10udm19582-p10udm19584);(-122.81986933752923,38.55529993599306);(-122.81976602299015,38.55530878901758);(-122.81965125404639,38.555308612271006);(-122.81953646257323,38.55531744741198);(-122.81942171616188,38.55530825844032);(-122.81930694722111,38.55530808135621) l(r:p10udm19582-p10udm19586);(-122.81998410646058,38.55530011252582) l(r:p10udm19586-p10udm19590);(-122.82009887539294,38.555300288946064) l(r:p10udm19590-p10udt5711lv);(-122.8202136443263,38.55530046525377) l(r:p10udm19592-p10ulv19556);(-122.82607189888427,38.55321852262947);(-122.82585384413166,38.553218198475065) l(r:p10udm19593-p10ulv19559);(-122.82607168175537,38.55330864269355);(-122.82624376538725,38.553335934338065) l(r:p10udm19594-p10ulv19582);(-122.82607148633855,38.553389750750036);(-122.82592229062726,38.55338952900271) l(r:p10udm19593-p10udm19594);(-122.82607148633855,38.553389750750036) l(r:p10udm19592-p10udm19593);(-122.82607168175537,38.55330864269355) l(r:p10udm19592-p10udt5712lv);(-122.82607189888427,38.55321852262947) l(r:p10udm19595-p10ulv19691);(-122.82607250683999,38.552966186442625);(-122.82625615294532,38.552957447090336) l(r:p10udm19591-p10ulv19533);(-122.82607270225272,38.55288507838026);(-122.82626780297527,38.552885368065446) l(r:p10udm19591-p10udm19595);(-122.82607270225272,38.55288507838026) l(r:p10udm19595-p10udt5712lv);(-122.8260722897138,38.55305630651061);(-122.82607250683999,38.552966186442625) l(r:p10udt5714lv-p10ulv19536);(-122.81999705010517,38.55010919804358);(-122.82025954132534,38.55069538408681) l(r:p10udt5716lv-p10ulv19538);(-122.82543584978414,38.55521824820219);(-122.82537816015201,38.55534433066376) l(r:p10udt5716lv-p10ulv19584);(-122.82543584978414,38.55521824820219);(-122.82545908683261,38.55510112638483) l(r:p10udt5716lv-p10ulv19752);(-122.82543584978414,38.55521824820219);(-122.82542528829183,38.55483972694679) l(r:p10udm19602-p10ulv19730);(-122.82533257963921,38.55520908213509);(-122.82533281951218,38.55510995010069) l(r:p10udm19602-p10udt5716lv);(-122.82533257963921,38.55520908213509) l(r:p10udm19605-p10ulv19620);(-122.82261977802939,38.552267079011365);(-122.82241293657685,38.55237490991611) l(r:p10udm19604-p10ulv19540);(-122.82250534626291,38.55213172497904);(-122.82258590247191,38.552041726681075) l(r:p10udm19604-p10udm19605);(-122.82256255101754,38.552203908012636);(-122.82250534626291,38.55213172497904) l(r:p10udm19605-p10udt5717lv);(-122.82267698300538,38.55233926198791);(-122.82261977802939,38.552267079011365) l(r:p10udm19606-p10ulv19711);(-122.82286011930383,38.55253780409941);(-122.82294056501085,38.55249286559422) l(r:p10udm19607-p10ulv19712);(-122.82291732473405,38.552609986956234);(-122.82306669551029,38.55253811648105) l(r:p10udm19606-p10udm19607);(-122.82291732473405,38.552609986956234) l(r:p10udm19606-p10udt5717lv);(-122.82279141542178,38.552474615849235);(-122.82286011930383,38.55253780409941) l(r:p10udm19610-p10ulv19595);(-122.82649941250541,38.5520205557004);(-122.8265335168182,38.55215578684516) l(r:p10udm19611-p10ulv19626);(-122.82660269988833,38.55202070872431);(-122.82662591235288,38.55191259861316) l(r:p10udm19612-p10ulv19654);(-122.82671746364802,38.552020878644);(-122.82672859394455,38.552165087766255) l(r:p10udm19609-p10ulv19548);(-122.82682075103256,38.55202103147554);(-122.82683248681194,38.55191290434592) l(r:p10udm19609-p10udm19612);(-122.82682075103256,38.55202103147554) l(r:p10udm19611-p10udm19612);(-122.82671746364802,38.552020878644) l(r:p10udm19610-p10udm19611);(-122.82660269988833,38.55202070872431) l(r:p10udm19610-p10udt5718lv);(-122.8263961251233,38.55202040258538);(-122.82649941250541,38.5520205557004) l(r:p10udm19613-p10ulv19673);(-122.82607500372119,38.55192980556077);(-122.82551255312819,38.551974028835915) l(r:p10udm19608-p10ulv19541);(-122.82607519912389,38.55184869748398);(-122.82576531597446,38.55185724875291) l(r:p10udm19608-p10udm19613);(-122.82607519912389,38.55184869748398) l(r:p10udm19613-p10udt5718lv);(-122.82617805228719,38.55202909105165);(-122.82607476489464,38.55202893765306);(-122.82607500372119,38.55192980556077) l(r:p10udt5719lv-p10ulv19542);(-122.82449150697536,38.551828309961216);(-122.82461728699931,38.55201775130573) l(r:p10udt5719lv-p10ulv19644);(-122.82449150697536,38.551828309961216);(-122.82442214480297,38.55203548289055) l(r:p10udt5719lv-p10ulv19646);(-122.82449150697536,38.551828309961216);(-122.82426191428328,38.55185500166992) l(r:p10udt5719lv-p10ulv19663);(-122.82449150697536,38.551828309961216);(-122.82419277089157,38.551972054386376) l(r:p10udm19617-p10ulv19649);(-122.82454906391044,38.55175629990595);(-122.82476693923526,38.55182872254463) l(r:p10udm19617-p10udt5719lv);(-122.82454906391044,38.55175629990595) l(r:p10udt5720lv-p10ulv19583);(-122.82536571472512,38.55100050943151);(-122.82531954821252,38.5511085850621) l(r:p10udm19621-p10ulv19672);(-122.82559526075752,38.55099183956628);(-122.82554907281735,38.551108927297875) l(r:p10udm19619-p10ulv19543);(-122.82571002287554,38.550992010469706);(-122.82570974004304,38.55110916659517) l(r:p10udm19619-p10udm19621);(-122.82571002287554,38.550992010469706) l(r:p10udm19621-p10udt5720lv);(-122.82548047685553,38.55100068055998);(-122.82559526075752,38.55099183956628) l(r:p10udm19622-p10ulv19715);(-122.82487145057787,38.55132420462396);(-122.82503205275331,38.551351480878935) l(r:p10udm19622-p10udt5720lv);(-122.82525095259571,38.55100033819055);(-122.82514766668005,38.55100018397749);(-122.82503290455247,38.551000012522785);(-122.82503279534828,38.55104507256963);(-122.82500966815842,38.55111713433927);(-122.82497508652757,38.551180166937996);(-122.82491753047815,38.551252177211886);(-122.82487145057787,38.55132420462396) l(r:p10udt5722lv-p10ulv19689);(-122.82124431071526,38.556212258982235);(-122.82154278057466,38.556185678238705) l(r:p10udm19625-p10ulv19549);(-122.8211409950338,38.556221113216026);(-122.82110672025823,38.55615797661084) l(r:p10udm19627-p10ulv20182);(-122.8209572729745,38.55625688051596);(-122.82095720591315,38.5562839165138) l(r:p10udm19625-p10udm19627);(-122.8209572729745,38.55625688051596) l(r:p10udm19625-p10udt5722lv);(-122.8211409950338,38.556221113216026) l(r:p10udm19628-p10ulv19550);(-122.82676582741283,38.55577896996352);(-122.82659362951172,38.55579673909761) l(r:p10udm19628-p10ulv19688);(-122.82676582741283,38.55577896996352);(-122.82693800362341,38.55577021257884) l(r:p10udm19629-p10ulv19611);(-122.82677669883333,38.55603132302064);(-122.82702919313596,38.55603169635274) l(r:p10udm19629-p10ulv19716);(-122.82677669883333,38.55603132302064);(-122.82653557326306,38.556076026161804) l(r:p10udm19628-p10udm19629);(-122.82677708811828,38.55586910697388);(-122.8267768934762,38.55595021499782);(-122.82677669883333,38.55603132302064) l(r:p10udm19628-p10udt5723lv);(-122.82676582741283,38.55577896996352) l(r:p10udm19635-p10ulv20605);(-122.82711095685363,38.55543702282208);(-122.82713339272223,38.555653344799325) l(r:p10udm19630-p10ulv19625);(-122.82722574761821,38.55542818025251);(-122.82723765591568,38.55524795711447) l(r:p10udm19630-p10ulv20511);(-122.82722574761821,38.55542818025251);(-122.82738670462643,38.555311261221874) l(r:p10udm19630-p10ulv20517);(-122.82722574761821,38.55542818025251);(-122.82740907664086,38.55555461916336) l(r:p10udm19630-p10udm19635);(-122.82722574761821,38.55542818025251) l(r:p10udm19635-p10udt5723lv);(-122.82676621671872,38.555616753909845);(-122.82676641137049,38.55553564588129);(-122.82676660602147,38.55545453785164);(-122.82688139685483,38.5554456956199);(-122.82699618766048,38.55543685327554);(-122.82711095685363,38.55543702282208) l(r:p10udt5726lv-p10ulv19554);(-122.82316910062396,38.55289875276916);(-122.82330703936269,38.55280884064521) l(r:p10udt5726lv-p10ulv19660);(-122.82316910062396,38.55289875276916);(-122.8229736463072,38.553042650005786) l(r:p10udt5727lv-p10ulv19735);(-122.82427499195468,38.55119714229588);(-122.82420615643755,38.55118802691415) l(r:p10udm19642-p10ulv19555);(-122.8241829186412,38.551305148559464);(-122.8237472827733,38.55111524065751) l(r:p10udm19642-p10ulv19686);(-122.8241829186412,38.551305148559464);(-122.82418267722191,38.551404280652356) l(r:p10udm19642-p10udt5727lv);(-122.8241829186412,38.551305148559464) l(r:p10udm19645-p10ulv19721);(-122.8243673061557,38.55099000385802);(-122.82417225447152,38.5509716869997) l(r:p10udm19643-p10ulv19560);(-122.82436774463154,38.550809763673264);(-122.82421862009252,38.55078250373929) l(r:p10udm19643-p10udm19645);(-122.82436752539411,38.550899883766334);(-122.82436774463154,38.550809763673264) l(r:p10udm19645-p10udt5727lv);(-122.82437851929622,38.551098165182026);(-122.8243673061557,38.55099000385802) l(r:p10udt5730lv-p10ulv19563);(-122.8214416496834,38.55531135670482);(-122.82144120383064,38.55549159672207) l(r:p10udm19652-p10ulv19613);(-122.82132690303189,38.555302169599955);(-122.8212921376804,38.555437297059434) l(r:p10udm19652-p10ulv19774);(-122.82132690303189,38.555302169599955);(-122.82129282932605,38.555157925029995) l(r:p10udm19653-p10ulv19630);(-122.82110884204091,38.55530183659267);(-122.82107409862016,38.55542795198578) l(r:p10udm19652-p10udm19653);(-122.82122361098307,38.55530201191029);(-122.82110884204091,38.55530183659267) l(r:p10udm19652-p10udt5730lv);(-122.82132690303189,38.555302169599955) l(r:p10udm19654-p10ulv19768);(-122.82200401759391,38.555312213087625);(-122.8219930296179,38.55511393160611) l(r:p10udm19654-p10udt5730lv);(-122.82155641864279,38.555311531696226);(-122.82167118760317,38.55531170657512);(-122.82177447966836,38.55531186386992);(-122.82188924863065,38.555312038535035);(-122.82200401759391,38.555312213087625) l(r:p10udt5732lv-p10ulv19634);(-122.82030263266236,38.55643611816617);(-122.82025670192502,38.556445059688414) l(r:p10udm19659-p10ulv19709);(-122.82042894773666,38.55640927588716);(-122.82046326686827,38.55645438868945) l(r:p10udm19657-p10ulv19568);(-122.82055526271753,38.55638243347153);(-122.82053262228223,38.55625623029917) l(r:p10udm19657-p10udm19659);(-122.82055526271753,38.55638243347153) l(r:p10udm19659-p10udt5732lv);(-122.82042894773666,38.55640927588716) l(r:p10udm19660-p10ulv19718);(-122.82006132205498,38.55655290394449);(-122.82002668854587,38.55663395900265) l(r:p10udm19660-p10ulv19757);(-122.82006132205498,38.55655290394449);(-122.82003861502558,38.55645373667795) l(r:p10udm19660-p10udt5732lv);(-122.82022218091603,38.55648105481374);(-122.82006132205498,38.55655290394449) l(r:p10udt5734lv-p10ulv19576);(-122.82513149723873,38.55293774854776);(-122.82518892348861,38.552919810231465) l(r:p10udt5734lv-p10ulv19659);(-122.82513149723873,38.55293774854776);(-122.82542947271865,38.55310942198643) l(r:p10udt5734lv-p10ulv19745);(-122.82513149723873,38.55293774854776);(-122.82515436297169,38.55297383085559) l(r:p10udm19669-p10ulv19753);(-122.82468441630765,38.552729803000915);(-122.82474173310959,38.55275692493608) l(r:p10udm19669-p10udt5734lv);(-122.82513175919827,38.552829604469245);(-122.82513202115639,38.55272146038872);(-122.82490246957143,38.55273012932998);(-122.82468441630765,38.552729803000915) l(r:p10udt5735lv-p10ulv19579);(-122.82688139685483,38.5554456956199);(-122.8268472471237,38.555328488654716) l(r:p10udm19671-p10ulv19648);(-122.82699618766048,38.55543685327554);(-122.826962037747,38.55531964634323) l(r:p10udm19671-p10udt5735lv);(-122.82699506715646,38.55542788430927) l(r:p10udt5736lv-p10ulv19769);(-122.82308280172182,38.555331872386134);(-122.82309463200038,38.55518769769923) l(r:p10udm19674-p10ulv19632);(-122.82297950962065,38.555331716245604);(-122.82300219824785,38.55543989497118) l(r:p10udm19674-p10ulv19773);(-122.82297950962065,38.555331716245604);(-122.82300275069,38.555214594927655) l(r:p10udm19681-p10ulv20197);(-122.82278442444557,38.555322409063194);(-122.82284298116448,38.554844859806735) l(r:p10udm19680-p10ulv19804);(-122.82266965546121,38.55532223527562);(-122.82269291916619,38.5551961020184) l(r:p10udm19677-p10ulv19682);(-122.82256636337617,38.555322078770594);(-122.82258902923151,38.55543926957628) l(r:p10udm19677-p10udm19680);(-122.82256636337617,38.555322078770594) l(r:p10udm19680-p10udm19681);(-122.82266965546121,38.55532223527562) l(r:p10udm19674-p10udm19681);(-122.82288771653232,38.55532256537582);(-122.82278442444557,38.555322409063194) l(r:p10udm19674-p10udt5736lv);(-122.82297950962065,38.555331716245604) l(r:p10udm19676-p10ulv19677);(-122.82318609382376,38.555332028435544);(-122.82316285301324,38.555449149788146) l(r:p10udm19672-p10ulv19580);(-122.82356469937272,38.55538667184876);(-122.82370200408528,38.555558107362984) l(r:p10udm19672-p10ulv19588);(-122.82356469937272,38.55538667184876);(-122.8236675072312,38.555585091513194) l(r:p10udm19672-p10ulv19664);(-122.82356469937272,38.55538667184876);(-122.8234837880285,38.555620862788814) l(r:p10udm19672-p10udm19676);(-122.82327790902619,38.555332167069615);(-122.82338120112965,38.55533232294687);(-122.82347295021654,38.55535949743375);(-122.82356469937272,38.55538667184876) l(r:p10udm19676-p10udt5736lv);(-122.82318609382376,38.555332028435544) l(r:p10udm19682-p10ulv19586);(-122.81954604700019,38.55607447305859);(-122.81975310587256,38.555885539330525) l(r:p10udm19683-p10ulv19666);(-122.81961466136255,38.556173711170985);(-122.81963770550004,38.55613769854192) l(r:p10udm19683-p10ulv19680);(-122.81961466136255,38.556173711170985);(-122.81962600327486,38.55622780084701) l(r:p10udm19682-p10udm19683);(-122.81961466136255,38.556173711170985) l(r:p10udm19682-p10udt5737lv);(-122.81954604700019,38.55607447305859) l(r:p10udm19685-p10ulv19681);(-122.81920189453315,38.55601085783417);(-122.81935147902634,38.555857884175865) l(r:p10udm19686-p10ulv19766);(-122.81897310026149,38.55571310715232);(-122.81852502310112,38.55590166614137) l(r:p10udm19685-p10udm19686);(-122.81908750845523,38.555857476550976);(-122.81897310026149,38.55571310715232) l(r:p10udm19685-p10udt5737lv);(-122.81920189453315,38.55601085783417) l(r:p10udm19687-p10ulv19587);(-122.8249571860805,38.55382967985207);(-122.82495764498033,38.55364042774402) l(r:p10udm19687-p10ulv19652);(-122.8249571860805,38.55382967985207);(-122.82501373926418,38.55417222181686) l(r:p10udm19687-p10udt5738lv);(-122.82484241945599,38.553829508200096);(-122.8249571860805,38.55382967985207) l(r:p10udm19689-p10ulv19668);(-122.82462434097812,38.55383819375622);(-122.82464692215414,38.55399143221859) l(r:p10udm19690-p10ulv19738);(-122.82429151773646,38.553837694709195);(-122.824280414001,38.55368447340257) l(r:p10udm19689-p10udm19690);(-122.82450957434213,38.55383802177792);(-122.82439480770714,38.55383784968712);(-122.82429151773646,38.553837694709195) l(r:p10udm19689-p10udt5738lv);(-122.82462434097812,38.55383819375622) l(r:p10udt5739lv-p10ulv19692);(-122.82471025772736,38.55154025238105);(-122.82487083845987,38.5515765408656) l(r:p10udm19691-p10ulv19591);(-122.82465270112584,38.551612262519015);(-122.8248017838033,38.55165754591723) l(r:p10udm19691-p10udt5739lv);(-122.82465270112584,38.551612262519015) l(r:p10udt5740lv-p10ulv19609);(-122.82728994574151,38.55258047108046);(-122.82715216342581,38.55260730385817) l(r:p10udm19693-p10ulv19596);(-122.82702732580402,38.55202133686525);(-122.82703908286523,38.551904197705234) l(r:p10udm19693-p10udt5740lv);(-122.82729011822352,38.55250837501567);(-122.82730174558861,38.55244530788803);(-122.82732484936702,38.55238225768601);(-122.82732513839439,38.5523267043008);(-122.82737207623849,38.55224348659252);(-122.82741837758545,38.552171088361625);(-122.82748620857986,38.55209411027559);(-122.8274013979266,38.55207523252274);(-122.8273334737065,38.552057374483);(-122.82723136838223,38.55203944763186);(-122.82714208956729,38.55202150636869);(-122.82702732580402,38.55202133686525) l(r:p10udm19695-p10ulv19615);(-122.82728919112542,38.55289589135349);(-122.82715149451835,38.552886676097515) l(r:p10udm19696-p10ulv19726);(-122.82728899707939,38.55297699942094);(-122.82711689231944,38.55295872131958) l(r:p10udm19695-p10udm19696);(-122.82728899707939,38.55297699942094) l(r:p10udm19695-p10udt5740lv);(-122.82728975169849,38.55266157915231);(-122.82728955765471,38.55274268722301);(-122.82728938517067,38.552814783284916);(-122.82728919112542,38.55289589135349) l(r:p10udt5743lv-p10ulv19787);(-122.81773272410601,38.55605363985768);(-122.81792821974373,38.55590073949229) l(r:p10udm19700-p10ulv19617);(-122.81734191278763,38.55628734361175);(-122.81720400562743,38.55635922462471) l(r:p10udm19700-p10udt5743lv);(-122.81751441026994,38.556152432126304);(-122.81734191278763,38.55628734361175) l(r:p10udm19701-p10ulv19771);(-122.81787008442029,38.55619804616804);(-122.81816889595625,38.55603629405764) l(r:p10udm19701-p10ulv19796);(-122.81787008442029,38.55619804616804);(-122.81805405771775,38.55606315173707) l(r:p10udm19701-p10udt5743lv);(-122.81787008442029,38.55619804616804) l(r:p10udt5744lv-p10ulv19622);(-122.82161125669,38.551697788108775);(-122.82140481666988,38.55164340112514) l(r:p10udt5744lv-p10ulv19628);(-122.82161125669,38.551697788108775);(-122.82148528456419,38.55158945160607) l(r:p10udt5744lv-p10ulv19740);(-122.82161125669,38.551697788108775);(-122.82154308934584,38.55141831095574) l(r:p10udt5746lv-p10ulv20604);(-122.82757186344061,38.554671679512715);(-122.82754856537034,38.55481583778059) l(r:p10udm19710-p10ulv20484);(-122.82746859379898,38.55466251533016);(-122.82749118126614,38.554815753237015) l(r:p10udm19708-p10ulv19629);(-122.82726300346208,38.55424765846526);(-122.82712523953424,38.55426547919079) l(r:p10udm19709-p10ulv20453);(-122.82750498386024,38.553842473559776);(-122.82750468237744,38.55396864164518) l(r:p10udm19708-p10udm19709);(-122.82726319754615,38.55416655041558);(-122.82726339162944,38.554085442364766);(-122.82726365828783,38.55400333920684);(-122.82726377979365,38.55392322625976);(-122.82726397387458,38.55384211820558);(-122.82739021719988,38.553842304405364);(-122.82750498386024,38.553842473559776) l(r:p10udm19708-p10udm19710);(-122.8273538258351,38.554662346137064);(-122.82725051310162,38.554671205771896);(-122.82723925198603,38.55458106878764);(-122.8272394460991,38.5544999607427);(-122.82726259372652,38.554418886566445);(-122.8272627878122,38.55433777851914);(-122.82726300346208,38.55424765846526) l(r:p10udm19710-p10udt5746lv);(-122.82746859379898,38.55466251533016) l(r:p10udm19712-p10ulv20602);(-122.82778992260353,38.55467200047735);(-122.82776666797342,38.55479813477995) l(r:p10udm19711-p10ulv20601);(-122.82790469058538,38.55467216924296);(-122.82788205930818,38.55453695542084) l(r:p10udm19711-p10udm19712);(-122.82790469058538,38.55467216924296) l(r:p10udm19712-p10udt5746lv);(-122.82768663142066,38.554671848492106);(-122.82778992260353,38.55467200047735) l(r:p10udt5752lv-p10ulv19675);(-122.82274495644803,38.55269984650087);(-122.82264184523972,38.552627594025786) l(r:p10udm19723-p10ulv19749);(-122.82257258773349,38.5527897057893);(-122.82265285678558,38.55281686352873) l(r:p10udm19723-p10udt5752lv);(-122.82257258773349,38.5527897057893) l(r:p10udt5753lv-p10ulv19679);(-122.82061383506709,38.55590488545446);(-122.820877940014,38.555851217639116) l(r:p10udt5753lv-p10ulv19758);(-122.82061383506709,38.55590488545446);(-122.82043002387795,38.55597669992836) l(r:p10udm19729-p10ulv19704);(-122.82607046581565,38.553813315026694);(-122.82632297403266,38.55380467785825) l(r:p10udm19728-p10ulv19695);(-122.8260584462792,38.554038598110196);(-122.82590920575942,38.55405640035337) l(r:p10udm19731-p10ulv19739);(-122.82605827255763,38.5541106941514);(-122.82629932679485,38.55409302797935) l(r:p10udm19728-p10udm19731);(-122.82605827255763,38.5541106941514) l(r:p10udm19728-p10udm19729);(-122.82607029210749,38.55388541107074);(-122.82605862000014,38.55396650206811);(-122.8260584462792,38.554038598110196) l(r:p10udm19729-p10udt5754lv);(-122.82607046581565,38.553813315026694) l(r:p10udm19730-p10ulv19732);(-122.82607087837005,38.553642086918565);(-122.82648403717712,38.55364270000132) l(r:p10udm19726-p10ulv19683);(-122.8260712692078,38.55347987081148);(-122.82635820607729,38.55347128470941) l(r:p10udm19726-p10ulv19684);(-122.8260712692078,38.55347987081148);(-122.82657626160021,38.55347160792619) l(r:p10udm19726-p10udm19730);(-122.82607107378931,38.55356097886558);(-122.8260712692078,38.55347987081148) l(r:p10udm19730-p10udt5754lv);(-122.82607087837005,38.553642086918565) l(r:p10udm19732-p10ulv19687);(-122.82211878655819,38.555312387527735);(-122.82212981932133,38.55549264498952) l(r:p10udm19734-p10ulv19795);(-122.82233682541222,38.555321730655365);(-122.82229129498928,38.55516845695247) l(r:p10udm19732-p10udm19734);(-122.82222207862688,38.555312544427615);(-122.82233682541222,38.555321730655365) l(r:p10udm19732-p10udt5755lv);(-122.82211878655819,38.555312387527735) l(r:p10udm19733-p10ulv19783);(-122.82155641864279,38.555311531696226);(-122.82155675281481,38.55517635167878) l(r:p10udm19733-p10udt5755lv);(-122.82188922639398,38.555321050536236);(-122.82177445741739,38.55532087587106);(-122.82167116533928,38.55532071857622);(-122.82155639636458,38.55532054369728) l(r:p10udm19746-p10ulv19763);(-122.81762125560904,38.55474672126477);(-122.81760984711673,38.554719667385164) l(r:p10udm19748-p10ulv19797);(-122.81751737204233,38.554980872300874);(-122.81748275922747,38.55505291462368) l(r:p10udm19746-p10udm19748);(-122.81770120633051,38.55490005038899);(-122.81751737204233,38.554980872300874) l(r:p10udm19746-p10udt5761lv);(-122.81743762675113,38.5547464350556);(-122.81762125560904,38.55474672126477) l(r:p10udm19747-p10ulv19772);(-122.81697903445043,38.55455646627674);(-122.81702498722932,38.5545385140356) l(r:p10udm19747-p10udt5761lv);(-122.81743361831764,38.554737990573);(-122.81739222108398,38.55454809945799);(-122.81718561636683,38.554556789049705);(-122.81697903445043,38.55455646627674) l(r:p10udm19755-p10ulv19780);(-122.81720987066002,38.554043140864735);(-122.81716407802148,38.553998009174904) l(r:p10udm19756-p10ulv19791);(-122.8173142784121,38.553601714030194);(-122.81718780735417,38.55369163698075) l(r:p10udm19755-p10udm19756);(-122.81737072674095,38.553971295614346);(-122.81753158250226,38.55389945014127);(-122.81742867995226,38.5537460850857);(-122.8173142784121,38.553601714030194) l(r:p10udm19755-p10udt5766lv);(-122.81720987066002,38.554043140864735) l(r:p10udt5771lv-p10ulv19803);(-122.81772361383217,38.555116374120274);(-122.81783813229282,38.555215684749655) l(r:p10udm19771-p10ulv19814);(-122.79564326797893,38.558738797293714);(-122.79558549800481,38.55887387683254) l(r:p10udm19775-p10ulv20051);(-122.79575804221514,38.55873899759107);(-122.7957576851835,38.55886516534025) l(r:p10udm19772-p10ulv19861);(-122.79586133902872,38.55873917776249);(-122.7958953890241,38.55887441753168) l(r:p10udm19772-p10udm19775);(-122.79586133902872,38.55873917776249) l(r:p10udm19771-p10udm19775);(-122.79575804221514,38.55873899759107) l(r:p10udm19771-p10udt5776lv);(-122.79564326797893,38.558738797293714) l(r:p10udm19773-p10ulv19924);(-122.79524160929441,38.558720071402995);(-122.79521827090987,38.55885521097833) l(r:p10udm19774-p10ulv20003);(-122.79513836367124,38.55870186672108);(-122.79505766349536,38.558827893844025) l(r:p10udm19773-p10udm19774);(-122.79513836367124,38.55870186672108) l(r:p10udm19773-p10udt5776lv);(-122.7954137195099,38.55873839636141);(-122.79533340310199,38.558729243946814);(-122.79524160929441,38.558720071402995) l(r:p10udt5777lv-p10ulv19839);(-122.79640686598309,38.560650677124386);(-122.79620026687812,38.56065031763817) l(r:p10udt5777lv-p10ulv19952);(-122.79640686598309,38.560650677124386);(-122.79652166867376,38.56064186470173) l(r:p10udm19776-p10ulv19815);(-122.79638416478393,38.560560517402955);(-122.79649904356772,38.56052466906258) l(r:p10udm19778-p10ulv19901);(-122.79637294134048,38.56047037764104);(-122.7961776677431,38.560524109958855) l(r:p10udm19776-p10udm19778);(-122.79637294134048,38.56047037764104) l(r:p10udm19776-p10udt5777lv);(-122.79638416478393,38.560560517402955) l(r:p10udm19780-p10ulv20067);(-122.79642933845663,38.560821944654805);(-122.796199630657,38.5608756171208) l(r:p10udm19780-p10udt5777lv);(-122.79641808949596,38.560740816880454);(-122.79642933845663,38.560821944654805) l(r:p10udt5778lv-p10ulv19891);(-122.7977131951161,38.55731849189986);(-122.79774795499495,38.55720139557772) l(r:p10udm19781-p10ulv19816);(-122.79762137752294,38.557318333209345);(-122.79762094796126,38.557471536959426) l(r:p10udm19785-p10ulv19950);(-122.7975180827315,38.557318154596445);(-122.79754055684039,38.55748942201564) l(r:p10udm19785-p10ulv20036);(-122.7975180827315,38.557318154596445);(-122.79729983879375,38.557380861121786) l(r:p10udm19783-p10ulv19895);(-122.79751856307101,38.5571469268721);(-122.79735795857017,38.557119612893665) l(r:p10udm19786-p10ulv19971);(-122.79750733872218,38.55705678716384);(-122.79767969817051,38.55698498892822) l(r:p10udm19783-p10udm19786);(-122.79750733872218,38.55705678716384) l(r:p10udm19783-p10udm19785);(-122.79751833554226,38.55722803474215);(-122.79751856307101,38.5571469268721) l(r:p10udm19781-p10udm19785);(-122.7975180827315,38.557318154596445) l(r:p10udm19781-p10udt5778lv);(-122.79762137752294,38.557318333209345) l(r:p10udm19784-p10ulv19909);(-122.79810294094008,38.55749039325665);(-122.7979536613119,38.5575171717997) l(r:p10udm19787-p10ulv19981);(-122.79810427696235,38.55701275800986);(-122.79823055081432,38.55700396368231) l(r:p10udm19784-p10udm19787);(-122.7981034198951,38.55731916553117);(-122.79810384843037,38.55716596177252);(-122.79810427696235,38.55701275800986) l(r:p10udm19788-p10ulv20014);(-122.79810246198099,38.55766162097711);(-122.79821733529835,38.55762577091784) l(r:p10udm19784-p10udm19788);(-122.79810246198099,38.55766162097711) l(r:p10udm19784-p10udt5778lv);(-122.79781648990927,38.55731867034062);(-122.79790830750396,38.55731882887811);(-122.79800012509938,38.557318987343585);(-122.79810344510315,38.557310153545465);(-122.79810294094008,38.55749039325665) l(r:p10udt5780lv-p10ulv19997);(-122.80355452681991,38.55753571627069);(-122.8037153061806,38.557499937835885) l(r:p10udm19794-p10ulv19863);(-122.80355435512615,38.55759880018871);(-122.8034051018124,38.55761657369932) l(r:p10udm19795-p10ulv19992);(-122.80356566068974,38.5576619033648);(-122.80371479151997,38.55768918959088) l(r:p10udm19794-p10udm19795);(-122.80356566068974,38.5576619033648) l(r:p10udm19794-p10udt5780lv);(-122.80355435512615,38.55759880018871) l(r:p10udm19797-p10ulv20039);(-122.80353174405758,38.55747259383134);(-122.8036925723093,38.55741879144995) l(r:p10udm19793-p10ulv19818);(-122.80349748411717,38.5574094521228);(-122.80337111197096,38.557454300084196) l(r:p10udm19793-p10udm19797);(-122.80349748411717,38.5574094521228) l(r:p10udm19797-p10udt5780lv);(-122.80353174405758,38.55747259383134) l(r:p10udm19798-p10ulv19819);(-122.79444979564843,38.558673623660205);(-122.79456439021419,38.55873690899829) l(r:p10udm19799-p10ulv19825);(-122.79480572354173,38.558629187933256);(-122.79478238437156,38.55876432742159) l(r:p10udm19798-p10udm19799);(-122.79449591057544,38.55860160840755);(-122.79464521935245,38.55856582230658);(-122.79472548422724,38.5585929991565);(-122.79480572354173,38.558629187933256) l(r:p10udm19798-p10udt5781lv);(-122.79439222887812,38.558736606754664);(-122.79444979564843,38.558673623660205) l(r:p10udm19800-p10ulv20048);(-122.79427704366127,38.558880596818085);(-122.79440314152944,38.558934890500026) l(r:p10udm19800-p10ulv21124);(-122.79427704366127,38.558880596818085);(-122.7941165136741,38.55882624245015) l(r:p10udm19802-p10ulv21127);(-122.79421947657471,38.5589435798245);(-122.79408200423039,38.558853217833075) l(r:p10udm19800-p10udm19802);(-122.79421947657471,38.5589435798245) l(r:p10udm19800-p10udt5781lv);(-122.79427704366127,38.558880596818085) l(r:p10udt5782lv-p10ulv19991);(-122.79532806181717,38.560612747871794);(-122.79548864776704,38.56064907661712) l(r:p10udm19805-p10ulv19878);(-122.79533905396967,38.560783995537825);(-122.79510937107477,38.5608286538843) l(r:p10udm19803-p10ulv19820);(-122.79533882396191,38.56086510334636);(-122.7952808727519,38.56106326652813) l(r:p10udm19803-p10ulv19822);(-122.79533882396191,38.56086510334636);(-122.79559136024247,38.56085653255024) l(r:p10udm19803-p10ulv19990);(-122.79533882396191,38.56086510334636);(-122.79512056740944,38.56092780573607) l(r:p10udm19803-p10ulv20001);(-122.79533882396191,38.56086510334636);(-122.79547625062862,38.56097348780287) l(r:p10udm19803-p10udm19805);(-122.79533882396191,38.56086510334636) l(r:p10udm19805-p10udt5782lv);(-122.79532780624078,38.56070286766158);(-122.79533905396967,38.560783995537825) l(r:p10udm19811-p10ulv20002);(-122.79531681412648,38.560531619992226);(-122.79514472524232,38.56050428290611) l(r:p10udm19806-p10ulv19902);(-122.79551290547617,38.56018950576564);(-122.79548966926038,38.56028859744272) l(r:p10udm19807-p10ulv19976);(-122.7956162043642,38.56018968615906);(-122.79558212875749,38.560063458318886) l(r:p10udm19812-p10ulv20049);(-122.79613267336146,38.56019959873896);(-122.79608650811146,38.56028963857832) l(r:p10udm19807-p10udm19812);(-122.79573098090752,38.56018988648928);(-122.7958342797975,38.56019006669023);(-122.79593757868842,38.56019024680007);(-122.79602937445593,38.56019941880125);(-122.79613267336146,38.56019959873896) l(r:p10udm19806-p10udm19807);(-122.7956162043642,38.56018968615906) l(r:p10udm19806-p10udm19811);(-122.79531704415622,38.56045051217918);(-122.79530582206253,38.56036037231697);(-122.7952945744349,38.560279244431925);(-122.79539812893499,38.560189305221634);(-122.79551290547617,38.56018950576564) l(r:p10udm19811-p10udt5782lv);(-122.79531681412648,38.560531619992226) l(r:p10udt5783lv-p10ulv19824);(-122.83288270095892,38.55594106617304);(-122.83302038330002,38.55595928670367) l(r:p10udm19813-p10ulv19821);(-122.8328828887402,38.55585995812324);(-122.83337639932076,38.55586066156647) l(r:p10udm19818-p10ulv20355);(-122.8328830765207,38.5557788500723);(-122.83302077939989,38.55578805859651) l(r:p10udm19813-p10udm19818);(-122.8328830765207,38.5557788500723) l(r:p10udm19813-p10udt5783lv);(-122.8328828887402,38.55585995812324) l(r:p10udm19816-p10ulv19888);(-122.83288251317691,38.55602217422174);(-122.83272175141695,38.55605799276443) l(r:p10udm19816-p10ulv20064);(-122.83288251317691,38.55602217422174);(-122.83312355134618,38.55601350602019) l(r:p10udm19815-p10ulv19862);(-122.83287063970266,38.55619338593672);(-122.83298543098566,38.556184537721855) l(r:p10udm19815-p10udm19816);(-122.83288232539414,38.55610328226929);(-122.83287063970266,38.55619338593672) l(r:p10udm19816-p10udt5783lv);(-122.83288251317691,38.55602217422174) l(r:p10udt5784lv-p10ulv19823);(-122.79953774455268,38.55743878675824);(-122.79960638268578,38.55752001253234) l(r:p10udm19820-p10ulv19875);(-122.79984775443792,38.55739425704635);(-122.79989333848827,38.55751149135175) l(r:p10udm19823-p10ulv19987);(-122.80016921619986,38.55735875809052);(-122.80011155563211,38.55745779197444) l(r:p10udm19820-p10udm19823);(-122.80000848535794,38.55737650767891);(-122.80016921619986,38.55735875809052) l(r:p10udm19820-p10udt5784lv);(-122.79967552121249,38.557420998540344);(-122.79984775443792,38.55739425704635) l(r:p10udm19824-p10ulv20040);(-122.79942289722692,38.5574656261307);(-122.79949148518595,38.55756487594367) l(r:p10udm19822-p10ulv19947);(-122.79914709276837,38.55759132166754);(-122.79926148924866,38.55772669831183) l(r:p10udm19821-p10ulv19908);(-122.7987679668557,38.557725851023115);(-122.79893987478756,38.55781626667975) l(r:p10udm19821-p10udm19822);(-122.79900931565575,38.557609109261776);(-122.79891742236549,38.55763598754382);(-122.79884848351657,38.55766290519699);(-122.7987679668557,38.557725851023115) l(r:p10udm19822-p10udm19824);(-122.79933092910728,38.55751954069719);(-122.79914709276837,38.55759132166754) l(r:p10udm19824-p10udt5784lv);(-122.79942289722692,38.5574656261307) l(r:p10udt5785lv-p10ulv19977);(-122.80248731637683,38.557470836381356);(-122.80267127229823,38.55735399021404) l(r:p10udm19826-p10ulv19836);(-122.80243012758679,38.557398643645065);(-122.80255664812803,38.55729972477156) l(r:p10udm19826-p10ulv19858);(-122.80243012758679,38.557398643645065);(-122.80231523202362,38.55744350983391) l(r:p10udm19830-p10ulv19983);(-122.80237296358595,38.55731743889221);(-122.80224656621682,38.55737129762689) l(r:p10udm19825-p10ulv19826);(-122.80231577503137,38.55724524609877);(-122.80246517581935,38.55717340204491) l(r:p10udm19825-p10udm19830);(-122.80231577503137,38.55724524609877) l(r:p10udm19826-p10udm19830);(-122.80237296358595,38.55731743889221) l(r:p10udm19826-p10udt5785lv);(-122.80243012758679,38.557398643645065) l(r:p10udm19828-p10ulv19942);(-122.80253297873243,38.557561033705845);(-122.8023376188385,38.55765082430923) l(r:p10udm19828-p10ulv20022);(-122.80253297873243,38.557561033705845);(-122.80275082466369,38.55764250922145) l(r:p10udm19828-p10ulv20058);(-122.80253297873243,38.557561033705845);(-122.80280838328117,38.55757952198304) l(r:p10udm19828-p10udt5785lv);(-122.80251014754043,38.55751593504597);(-122.80253297873243,38.557561033705845) l(r:p10udt5787lv-p10ulv19854);(-122.79637711121178,38.558992412757725);(-122.79651481537773,38.559001664221235) l(r:p10udm19838-p10ulv19873);(-122.79637665355793,38.55915462843395);(-122.79651438344133,38.55915486791662) l(r:p10udm19836-p10ulv19829);(-122.79637619590038,38.55931684410566);(-122.79651402772654,38.559281035662764) l(r:p10udm19836-p10udm19838);(-122.79637642472962,38.559235736270374);(-122.79637619590038,38.55931684410566) l(r:p10udm19838-p10udt5787lv);(-122.79637688238532,38.5590735205964);(-122.79637665355793,38.55915462843395) l(r:p10udm19839-p10ulv19972);(-122.79637736546235,38.55890229293565);(-122.79620512737272,38.55892902930361) l(r:p10udm19840-p10ulv20060);(-122.79702055888879,38.558741193435445);(-122.7969979842488,38.558605973887865) l(r:p10udm19839-p10udm19840);(-122.79637759428687,38.5588211850946);(-122.79637782311048,38.55874007725243);(-122.79649259735399,38.558740276829624);(-122.79659589417413,38.55874045635291);(-122.79671066841982,38.5587406557163);(-122.79681396524187,38.55874083504717);(-122.79691726206488,38.55874101428687);(-122.79702055888879,38.558741193435445) l(r:p10udm19839-p10udt5787lv);(-122.79637736546235,38.55890229293565) l(r:p10udt5788lv-p10ulv19892);(-122.80208393307443,38.558082973085455);(-122.80207213447848,38.55820010950703) l(r:p10udm19850-p10ulv20024);(-122.8019233247374,38.558055665364336);(-122.80199253477704,38.55792961404536) l(r:p10udm19843-p10ulv19880);(-122.80184303298569,38.558037505427926);(-122.80176244425239,38.558127489274895) l(r:p10udm19849-p10ulv19973);(-122.80169397643537,38.5579831808592);(-122.80179754442614,38.557884223935616) l(r:p10udm19841-p10ulv19830);(-122.80162518689627,38.55795602822812);(-122.80152169291213,38.55802794903773) l(r:p10udm19842-p10ulv19835);(-122.80155647174139,38.55790183959664);(-122.801452977767,38.557973760346194) l(r:p10udm19841-p10udm19842);(-122.80155647174139,38.55790183959664) l(r:p10udm19841-p10udm19849);(-122.80162518689627,38.55795602822812) l(r:p10udm19843-p10udm19849);(-122.80177424333844,38.5580103528844);(-122.80169397643537,38.5579831808592) l(r:p10udm19843-p10udm19850);(-122.80184303298569,38.558037505427926) l(r:p10udm19850-p10udt5788lv);(-122.80200361652975,38.55807382524575);(-122.8019233247374,38.558055665364336) l(r:p10udm19851-p10ulv20066);(-122.80226757025694,38.55808328339964);(-122.80229087050706,38.557957154351996) l(r:p10udm19847-p10ulv19965);(-122.80235941352659,38.558074426461864);(-122.80234759070014,38.55820057489923) l(r:p10udm19846-p10ulv19948);(-122.80243975478541,38.55807456207068);(-122.80240564354716,38.55795734812871) l(r:p10udm19845-p10ulv19939);(-122.8025430506904,38.55807473634387);(-122.80255487315378,38.557948587883075) l(r:p10udm19845-p10ulv19968);(-122.8025430506904,38.55807473634387);(-122.80254270552766,38.558200904159904) l(r:p10udm19845-p10udm19846);(-122.8025430506904,38.55807473634387) l(r:p10udm19846-p10udm19847);(-122.80243975478541,38.55807456207068) l(r:p10udm19847-p10udm19851);(-122.80235941352659,38.558074426461864) l(r:p10udm19851-p10udt5788lv);(-122.80217575166535,38.558083128278554);(-122.80226757025694,38.55808328339964) l(r:p10udm19860-p10ulv19877);(-122.80091575669437,38.55717077739798);(-122.80103025520681,38.55727010438148) l(r:p10udm19859-p10ulv19834);(-122.8007090181973,38.55722449781954);(-122.80069714286431,38.55736867007531) l(r:p10udm19859-p10udm19860);(-122.8007090181973,38.55722449781954) l(r:p10udm19860-p10udt5791lv);(-122.80091575669437,38.55717077739798) l(r:p10udm19861-p10ulv19941);(-122.80114552370837,38.557090059639656);(-122.80122556604887,38.55719833993072) l(r:p10udm19861-p10ulv20006);(-122.80114552370837,38.557090059639656);(-122.80116815531095,38.55720725446712) l(r:p10udm19863-p10ulv20046);(-122.80143279993358,38.55696437883695);(-122.80152434451082,38.557063666405405) l(r:p10udm19861-p10udm19863);(-122.80126041943006,38.55704519459652);(-122.80143279993358,38.55696437883695) l(r:p10udm19861-p10udt5791lv);(-122.80114552370837,38.557090059639656) l(r:p10udt5792lv-p10ulv19849);(-122.83180390472234,38.555921497154245);(-122.83191869569974,38.55591264998427) l(r:p10udt5792lv-p10ulv20447);(-122.83180390472234,38.555921497154245);(-122.83164314265699,38.55595731421568) l(r:p10udm19866-p10ulv19866);(-122.83180430370733,38.55575026905664);(-122.8319190944123,38.55574142188541) l(r:p10udm19866-p10ulv20449);(-122.83180430370733,38.55575026905664);(-122.8315861781625,38.55577699157734) l(r:p10udm19866-p10ulv20531);(-122.83180430370733,38.55575026905664);(-122.83164429870963,38.55546165392654) l(r:p10udm19866-p10ulv20584);(-122.83180430370733,38.55575026905664);(-122.8318044717,38.55567817301405) l(r:p10udm19866-p10udt5792lv);(-122.83180409371566,38.55584038910865);(-122.83180430370733,38.55575026905664) l(r:p10udm19867-p10ulv19913);(-122.83180350573397,38.556092725246806);(-122.8314247428204,38.55610119249394) l(r:p10udm19867-p10ulv19917);(-122.83180350573397,38.556092725246806);(-122.83172322957772,38.55606557378042) l(r:p10udm19867-p10ulv19969);(-122.83180350573397,38.556092725246806);(-122.83202148534856,38.556129086358446) l(r:p10udm19870-p10ulv20015);(-122.83180310674219,38.55626395333435);(-122.83173422341221,38.55627286638251) l(r:p10udm19864-p10ulv19837);(-122.83180289674515,38.5563540733784);(-122.83195209862964,38.556354287645384) l(r:p10udm19864-p10udm19870);(-122.83180289674515,38.5563540733784) l(r:p10udm19867-p10udm19870);(-122.83180329573871,38.5561828452935);(-122.83180310674219,38.55626395333435) l(r:p10udm19867-p10udt5792lv);(-122.83180369472886,38.55601161720359);(-122.83180350573397,38.556092725246806) l(r:p10udm19875-p10ulv19838);(-122.79605436707294,38.55947850034335);(-122.79604255845575,38.559595636101825) l(r:p10udm19875-p10ulv19885);(-122.79605436707294,38.55947850034335);(-122.79600881350817,38.55935225261493) l(r:p10udm19876-p10ulv19855);(-122.7961576649468,38.55947868025619);(-122.79615802128708,38.55935251251712) l(r:p10udm19877-p10ulv19883);(-122.79636380300661,38.55964125547101);(-122.79650155922874,38.559632482990104) l(r:p10udm19882-p10ulv20045);(-122.79635186771884,38.55980345116327);(-122.79650107643374,38.55980371063076) l(r:p10udm19877-p10udm19882);(-122.79636357415988,38.559722363300594);(-122.79635186771884,38.55980345116327) l(r:p10udm19876-p10udm19877);(-122.79627244036331,38.55947888005244);(-122.79637550940714,38.55956016760477);(-122.79636380300661,38.55964125547101) l(r:p10udm19875-p10udm19876);(-122.7961576649468,38.55947868025619) l(r:p10udm19875-p10udt5793lv);(-122.79605436707294,38.55947850034335) l(r:p10udm19879-p10ulv19946);(-122.79574447345685,38.55947796005794);(-122.79574416740144,38.55958610382933) l(r:p10udm19879-p10ulv19985);(-122.79574447345685,38.55947796005794);(-122.79575633354348,38.55934280036598) l(r:p10udm19881-p10ulv20033);(-122.79556083279935,38.559477639501715);(-122.79559495914344,38.559585843398345) l(r:p10udm19881-p10ulv20061);(-122.79556083279935,38.559477639501715);(-122.79556121571025,38.559342459786194) l(r:p10udm19879-p10udm19881);(-122.79565265312775,38.55947779981583);(-122.79556083279935,38.559477639501715) l(r:p10udm19879-p10udt5793lv);(-122.79583629378666,38.55947812022802);(-122.79574447345685,38.55947796005794) l(r:p10udt5795lv-p10ulv19958);(-122.80315137339416,38.55806674878323);(-122.80312807461083,38.558192878003695) l(r:p10udm19886-p10ulv19850);(-122.8030480774968,38.558066575046844);(-122.8030139898691,38.55794034929194) l(r:p10udm19885-p10ulv19841);(-122.80284148570477,38.558066227300614);(-122.80289852777267,38.558192491752294) l(r:p10udm19888-p10ulv19912);(-122.80274964250309,38.55807508461681);(-122.802750011931,38.5579399048092) l(r:p10udm19888-p10ulv19996);(-122.80274964250309,38.55807508461681);(-122.80278380360411,38.558174274483974) l(r:p10udm19885-p10udm19888);(-122.80274964250309,38.55807508461681) l(r:p10udm19885-p10udm19886);(-122.80294478160036,38.5580664012193);(-122.80284148570477,38.558066227300614) l(r:p10udm19887-p10ulv19871);(-122.80294478160036,38.5580664012193);(-122.80289924146162,38.557931144124346) l(r:p10udm19886-p10udm19887);(-122.8029447569961,38.558075413206495) l(r:p10udm19886-p10udt5795lv);(-122.8030480774968,38.558066575046844) l(r:p10udm19890-p10ulv19970);(-122.80331208046128,38.55805800687131);(-122.80328946967612,38.55793180047783) l(r:p10udm19894-p10ulv20021);(-122.80339244625478,38.55804912983884);(-122.8034839703667,38.55815742785464) l(r:p10udm19894-p10ulv20063);(-122.80339244625478,38.55804912983884);(-122.80339207802862,38.55818430964914) l(r:p10udm19891-p10ulv19995);(-122.80347295925823,38.557986180825786);(-122.80363346996334,38.55804953437284) l(r:p10udm19893-p10ulv20011);(-122.80350761199128,38.557905130733864);(-122.80364521696708,38.55795042175963) l(r:p10udm19891-p10udm19893);(-122.80350761199128,38.557905130733864) l(r:p10udm19891-p10udm19894);(-122.80343845370251,38.558013158981154);(-122.80347295925823,38.557986180825786) l(r:p10udm19890-p10udm19894);(-122.80339244625478,38.55804912983884) l(r:p10udm19890-p10udt5795lv);(-122.80323173921666,38.5580578718612);(-122.80331208046128,38.55805800687131) l(r:p10udt5796lv-p10ulv20054);(-122.79460637601038,38.56011582256608);(-122.79460606824266,38.56022396631797) l(r:p10udt5796lv-p10ulv20062);(-122.79460637601038,38.56011582256608);(-122.79459536004288,38.55995358679644) l(r:p10udm19898-p10ulv19951);(-122.79472112679608,38.56012503586552);(-122.79477887377244,38.55999896876911) l(r:p10udm19896-p10ulv19843);(-122.79500796549503,38.56016158659162);(-122.79497325093047,38.560260658065886) l(r:p10udm19896-p10ulv20016);(-122.79500796549503,38.56016158659162);(-122.79505420877992,38.56004451123985) l(r:p10udm19900-p10ulv20010);(-122.79520303439043,38.56017995205891);(-122.79524932850492,38.56004485266989) l(r:p10udm19896-p10udm19900);(-122.7951112387556,38.560170779410086);(-122.79520303439043,38.56017995205891) l(r:p10udm19896-p10udm19898);(-122.79482437434666,38.56014324091633);(-122.79491616990902,38.56015241378994);(-122.79500796549503,38.56016158659162) l(r:p10udm19898-p10udt5796lv);(-122.79472112679608,38.56012503586552) l(r:p10udm19904-p10ulv21109);(-122.79430813711858,38.560052214752545);(-122.7942160848037,38.56013316119485) l(r:p10udm19897-p10ulv19898);(-122.79421639315477,38.56002501744392);(-122.79432005135925,38.55989903126797) l(r:p10udm19897-p10udm19904);(-122.79421639315477,38.56002501744392) l(r:p10udm19905-p10ulv21125);(-122.79428415435486,38.560412653578425);(-122.79415805399987,38.560358359775115) l(r:p10udm19899-p10ulv19980);(-122.79426096776129,38.560493721045695);(-122.7944216555856,38.560494003338555) l(r:p10udm19899-p10udm19905);(-122.79426096776129,38.560493721045695) l(r:p10udm19904-p10udm19905);(-122.79437666912094,38.560169491463995);(-122.79434200504753,38.56025053879043);(-122.79431881857182,38.560331606271745);(-122.79428415435486,38.560412653578425) l(r:p10udm19904-p10udt5796lv);(-122.79450312855485,38.56009761732319);(-122.79430813711858,38.560052214752545) l(r:p10udt5797lv-p10ulv20068);(-122.80336039584991,38.55717490916067);(-122.80349831828899,38.55710304450568) l(r:p10udm19906-p10ulv19844);(-122.80330320641798,38.55710271684551);(-122.80346405855185,38.55703990278389) l(r:p10udm19906-p10ulv19937);(-122.80330320641798,38.55710271684551);(-122.8031767609664,38.55717460056681) l(r:p10udm19907-p10ulv19879);(-122.8032459925345,38.55703953649079);(-122.80314247689859,38.557120470741125) l(r:p10udm19906-p10udm19907);(-122.8032459925345,38.55703953649079) l(r:p10udm19906-p10udt5797lv);(-122.80330320641798,38.55710271684551) l(r:p10udm19908-p10ulv19890);(-122.80340608365832,38.55725609416291);(-122.80327966260116,38.557318966004466) l(r:p10udm19908-p10ulv19927);(-122.80340608365832,38.55725609416291);(-122.80354400620759,38.557184229455366) l(r:p10udm19908-p10udt5797lv);(-122.80340608365832,38.55725609416291) l(r:p10udt5799lv-p10ulv19930);(-122.79525099032884,38.55945907394831);(-122.79522839321832,38.55933286606564) l(r:p10udm19914-p10ulv19846);(-122.7951362405316,38.559449861169966);(-122.79513590798454,38.559567016918336) l(r:p10udm19914-p10ulv19857);(-122.7951362405316,38.559449861169966);(-122.79512512114087,38.55932367335247) l(r:p10udm19914-p10udt5799lv);(-122.7951362405316,38.559449861169966) l(r:p10udm19916-p10ulv19926);(-122.79536574015584,38.559468286614255);(-122.79529656819382,38.55957630998521) l(r:p10udm19916-p10udt5799lv);(-122.79536574015584,38.559468286614255) l(r:p10udm19919-p10ulv19860);(-122.799287350775,38.556681347234026);(-122.79941329833702,38.55678970746303) l(r:p10udm19921-p10ulv20885);(-122.79895496672901,38.556518560350874);(-122.79895544364625,38.55634733258792) l(r:p10udm19919-p10udm19921);(-122.79933338444057,38.55663636599695);(-122.79933360992497,38.556555258109626);(-122.79928780184707,38.55651913145994);(-122.79917303111566,38.55651893463266);(-122.79906973745832,38.556518757391935);(-122.79895496672901,38.556518560350874) l(r:p10udm19919-p10udt5800lv);(-122.79917257978566,38.55668115040562);(-122.799287350775,38.556681347234026) l(r:p10udm19920-p10ulv19881);(-122.79895451490896,38.55668077612168);(-122.79896551510976,38.556852023584256) l(r:p10udm19918-p10ulv19847);(-122.79885122102141,38.55668059868752);(-122.79881631247395,38.55685176727426) l(r:p10udm19918-p10udm19920);(-122.79885122102141,38.55668059868752) l(r:p10udm19920-p10udt5800lv);(-122.79895451490896,38.55668077612168) l(r:p10udt5802lv-p10ulv20342);(-122.83530502733667,38.555647101796055);(-122.83489189809576,38.55562849580423) l(r:p10udt5802lv-p10ulv20347);(-122.83530502733667,38.555647101796055);(-122.83522477092161,38.55561094071977) l(r:p10udm19925-p10ulv19853);(-122.835303423474,38.55635003830219);(-122.8352575563334,38.55633194969647) l(r:p10udm19925-p10udt5802lv);(-122.83530482171638,38.55573722186568);(-122.83530463665733,38.55581832992715);(-122.83530443103528,38.55590844999413);(-122.83530422541232,38.555998570059714);(-122.83530404035088,38.55607967811755);(-122.83530383472616,38.55616979818049);(-122.83530362910055,38.55625991824203);(-122.835303423474,38.55635003830219) l(r:p10udm19928-p10ulv20348);(-122.83530523295605,38.55555698172506);(-122.83490364300691,38.55551135589937) l(r:p10udm19929-p10ulv20356);(-122.83530582924705,38.55529563351126);(-122.8352828548791,38.55530461322535) l(r:p10udm19928-p10udm19929);(-122.8353054385745,38.555466861652654);(-122.83530562363032,38.55538575358629);(-122.83530582924705,38.55529563351126) l(r:p10udm19928-p10udt5802lv);(-122.83530523295605,38.55555698172506) l(r:p10udm19931-p10ulv19868);(-122.79642933845663,38.560821944654805);(-122.79677344272825,38.56090365073963) l(r:p10udm19931-p10udt5804lv);(-122.79632776808653,38.56020895035286);(-122.79633899143435,38.560299090122875);(-122.79636171792455,38.560380237876736);(-122.79638436460823,38.560469500780464);(-122.79639558806582,38.56055964054135);(-122.79640686598309,38.560650677124386);(-122.79642951280601,38.56073994001563);(-122.7964407488181,38.56082096928475) l(r:p10udm19933-p10ulv19954);(-122.7958342797975,38.56019006669023);(-122.7958461143466,38.560063918985705) l(r:p10udm19933-p10ulv20007);(-122.7958342797975,38.56019006669023);(-122.79578872617456,38.56006381889139) l(r:p10udm19933-p10udt5804lv);(-122.79613264790473,38.5602086107191);(-122.79602934898628,38.56020843078132);(-122.79593612977608,38.56019918670688);(-122.79583425430353,38.56019907867022) l(r:p10udm19935-p10ulv20053);(-122.79633970349958,38.56004675467463);(-122.79619062153043,38.56000143509789) l(r:p10udm19932-p10ulv19903);(-122.79633993237579,38.55996564684967);(-122.79650072068743,38.559929878362766) l(r:p10udm19932-p10udm19935);(-122.79633993237579,38.55996564684967) l(r:p10udm19935-p10udt5804lv);(-122.79632921695058,38.56020001044108);(-122.79633947462244,38.56012786249848);(-122.79633970349958,38.56004675467463) l(r:p10udt5807lv-p10ulv19957);(-122.8019039317711,38.556757900303246);(-122.80196104535091,38.55685712926738) l(r:p10udm19943-p10ulv19882);(-122.80159367814714,38.55689255535904);(-122.8016622437921,38.55700081590461) l(r:p10udm19943-p10udt5807lv);(-122.8017545807929,38.55681171966983);(-122.80159367814714,38.55689255535904) l(r:p10udm19945-p10ulv20020);(-122.80201887593228,38.556695010532984);(-122.80211044568496,38.55678528566025) l(r:p10udm19945-p10udt5807lv);(-122.80201887593228,38.556695010532984) l(r:p10udt5808lv-p10ulv19886);(-122.79683268356554,38.56024587581673);(-122.7970274743706,38.56036337003016) l(r:p10udt5808lv-p10ulv19938);(-122.79683268356554,38.56024587581673);(-122.79682171331515,38.56006561628495) l(r:p10udt5808lv-p10ulv19988);(-122.79683268356554,38.56024587581673);(-122.79683210006714,38.560453151363575) l(r:p10udt5808lv-p10ulv20035);(-122.79683268356554,38.56024587581673);(-122.79708529352932,38.56021026584878) l(r:p10udt5810lv-p10ulv20038);(-122.79459707846456,38.55934978412855);(-122.79465479939059,38.5592327290563) l(r:p10udm19954-p10ulv19896);(-122.79478056503683,38.55940417807958);(-122.79468851407994,38.55948512490611) l(r:p10udm19956-p10ulv19994);(-122.79487235965387,38.55941335098609);(-122.79491862828112,38.55928726369134) l(r:p10udm19954-p10udm19956);(-122.79487235965387,38.55941335098609) l(r:p10udm19954-p10udt5810lv);(-122.79468882171598,38.55937698113999);(-122.79478056503683,38.55940417807958) l(r:p10udm19955-p10ulv19982);(-122.79450530962343,38.55933159902587);(-122.79441323278103,38.55942155761674) l(r:p10udm19958-p10ulv21116);(-122.79432184912683,38.55926819266328);(-122.79427568222191,38.55935823179829) l(r:p10udm19955-p10udm19958);(-122.79441356649943,38.559304401870776);(-122.79432184912683,38.55926819266328) l(r:p10udm19955-p10udt5810lv);(-122.79450530962343,38.55933159902587) l(r:p10udm19960-p10ulv19905);(-122.79859414816376,38.55832034596927);(-122.7984564198551,38.55832010893773) l(r:p10udm19960-p10ulv19986);(-122.79859414816376,38.55832034596927);(-122.79876635880423,38.558302618061795) l(r:p10udm19962-p10ulv19984);(-122.79859369550368,38.558482561686404);(-122.7984444140011,38.55850934084561) l(r:p10udm19960-p10udm19962);(-122.79859392183417,38.5584014538284);(-122.79859369550368,38.558482561686404) l(r:p10udm19960-p10udt5811lv);(-122.79859414816376,38.55832034596927) l(r:p10udm19961-p10ulv19960);(-122.79859460082022,38.558158130247605);(-122.79845684765642,38.55816690520203) l(r:p10udm19959-p10ulv19897);(-122.79866376648614,38.55805010488454);(-122.79884710215615,38.5581585643821) l(r:p10udm19959-p10ulv19999);(-122.79866376648614,38.55805010488454);(-122.79893937270408,38.55799650638457) l(r:p10udm19959-p10udm19961);(-122.79862918367914,38.55810411757151);(-122.79866376648614,38.55805010488454) l(r:p10udm19961-p10udt5811lv);(-122.79859460082022,38.558158130247605) l(r:p10udt5812lv-p10ulv20050);(-122.79742312877082,38.55843548182414);(-122.79728583054111,38.55828203969273) l(r:p10udm19965-p10ulv19907);(-122.79762883715739,38.5587512585559);(-122.79765227205826,38.55858007055133) l(r:p10udm19965-p10udt5812lv);(-122.79742292641846,38.55850757769236);(-122.79742269877117,38.558588685543036);(-122.797422471123,38.55866979339258);(-122.7974222687683,38.55874188925789);(-122.7975255655967,38.55874206796086);(-122.79762883715739,38.5587512585559) l(r:p10udm19967-p10ulv19911);(-122.836939559766,38.553522548937295);(-122.83730697411917,38.553450963785636) l(r:p10udm19967-p10udt5813lv);(-122.83696232982567,38.553603689003666);(-122.836939559766,38.553522548937295) l(r:p10udm19968-p10ulv19918);(-122.83699616959363,38.55386508525814);(-122.8373175774327,38.55383849620813) l(r:p10udm19968-p10udt5813lv);(-122.83698489642777,38.55377494917207);(-122.83699616959363,38.55386508525814) l(r:p10udt5814lv-p10ulv19936);(-122.83244680496317,38.55584131073334);(-122.83243570454215,38.55567907820687) l(r:p10udm19969-p10ulv19914);(-122.83234351208212,38.55584116285305);(-122.83227511084985,38.555642800094574) l(r:p10udm19969-p10udt5814lv);(-122.83234351208212,38.55584116285305) l(r:p10udm19971-p10ulv19979);(-122.83256155392722,38.555850486943335);(-122.83253818179827,38.5560306942177) l(r:p10udm19971-p10ulv20350);(-122.83256155392722,38.555850486943335);(-122.83257330265666,38.55573334728533) l(r:p10udm19971-p10udt5814lv);(-122.83256155392722,38.555850486943335) l(r:p10udt5817lv-p10ulv19929);(-122.7969152841501,38.55944394890511);(-122.79709872205379,38.55951636319072) l(r:p10udt5817lv-p10ulv19935);(-122.7969152841501,38.55944394890511);(-122.79693773211193,38.55962422835707) l(r:p10udt5817lv-p10ulv19944);(-122.7969152841501,38.55944394890511);(-122.79701906354109,38.55927290040147) l(r:p10udt5817lv-p10ulv20037);(-122.7969152841501,38.55944394890511);(-122.7970989500727,38.55943525535454) l(r:p10udm19983-p10ulv19932);(-122.79805501487705,38.558211272859886);(-122.79782541756421,38.5582289006294) l(r:p10udm19983-p10udt5818lv);(-122.79805501487705,38.558211272859886) l(r:p10udm19984-p10ulv19940);(-122.7983772615508,38.55789640731747);(-122.79837698463193,38.557995539151015) l(r:p10udm19984-p10udt5818lv);(-122.79815909220675,38.557932079481354);(-122.7983772615508,38.55789640731747) l(r:p10udm19986-p10ulv20720);(-122.79981589718714,38.55646596348871);(-122.79998777847266,38.55656538960936) l(r:p10udm19988-p10ulv20758);(-122.7998734074443,38.55642100166111);(-122.80004536359719,38.556493391733966) l(r:p10udm19986-p10udm19988);(-122.7998734074443,38.55642100166111) l(r:p10udm19986-p10udt5819lv);(-122.79981589718714,38.55646596348871) l(r:p10udm19987-p10ulv20752);(-122.79970095148161,38.55652885109426);(-122.79960958522814,38.55636647818391) l(r:p10udm19985-p10ulv19945);(-122.79963201398212,38.55655576921759);(-122.79973468260201,38.55678124565215) l(r:p10udm19985-p10udm19987);(-122.79963201398212,38.55655576921759) l(r:p10udm19987-p10udt5819lv);(-122.79970095148161,38.55652885109426) l(r:p10udt5822lv-p10ulv19993);(-122.7975180827315,38.557318154596445);(-122.79670271935443,38.557487970054275) l(r:p10udt5822lv-p10ulv19998);(-122.7975180827315,38.557318154596445);(-122.79659929734602,38.55753285055288) l(r:p10udt5824lv-p10ulv20401);(-122.80331623066894,38.55653498080181);(-122.80339625111365,38.55665227161566) l(r:p10udm19995-p10ulv20009);(-122.8032243157691,38.55657087446709);(-122.80329283444131,38.556697158048294) l(r:p10udm19995-p10ulv20411);(-122.8032243157691,38.55657087446709);(-122.80310981533316,38.55647154961251) l(r:p10udm19996-p10ulv20019);(-122.8031430913632,38.55689517101788);(-122.80303955122855,38.556985117168374) l(r:p10udm19995-p10udm19996);(-122.80314387786788,38.556606787359414);(-122.80306343988678,38.55664270019604);(-122.8029715247247,38.5566785936615);(-122.80302871349,38.55675078614215);(-122.8030859023695,38.55682297859427);(-122.8031430913632,38.55689517101788) l(r:p10udm19995-p10udt5824lv);(-122.8032243157691,38.55657087446709) l(r:p10udm19999-p10ulv20425);(-122.80405105729389,38.556428068674);(-122.8040514487273,38.556283876827166) l(r:p10udm19999-p10udt5824lv);(-122.80339666840473,38.55649906779018);(-122.80348855859293,38.556472185978606);(-122.80354596846414,38.55646327030084);(-122.80361487990632,38.55644536185826);(-122.80368376680396,38.55643646536507);(-122.80374117662302,38.55642754959139);(-122.80384447017909,38.55642772271006);(-122.80394776373603,38.5564278957376);(-122.80405105729389,38.556428068674) l(r:p10udt5825lv-p10ulv20047);(-122.79420311668936,38.56065583578583);(-122.79415640895576,38.56093512638844) l(r:p10udt5825lv-p10ulv20052);(-122.79420311668936,38.56065583578583);(-122.79445539569531,38.560737387222034) l(r:p10udt5825lv-p10ulv21104);(-122.79420311668936,38.56065583578583);(-122.7939501692842,38.5608085952382) l(r:p10udt5825lv-p10ulv21112);(-122.79420311668936,38.56065583578583);(-122.79395052950053,38.56068242754532) l(r:p10udm20004-p10ulv20069);(-122.81967274895374,38.551298288515596);(-122.8195463975,38.55134315403566) l(r:p10udm20004-p10ulv20210);(-122.81967274895374,38.551298288515596);(-122.81979921272239,38.551208362825975) l(r:p10udm20005-p10ulv20111);(-122.81978717408359,38.55143364531984);(-122.81991359298773,38.55136174352164) l(r:p10udm20004-p10udm20005);(-122.81972995021356,38.55137047293507);(-122.81978717408359,38.55143364531984) l(r:p10udm20004-p10udt5826lv);(-122.81967274895374,38.551298288515596) l(r:p10udm20006-p10ulv20115);(-122.81954684801715,38.55116291390906);(-122.8196732891944,38.551082000359834) l(r:p10udm20006-p10ulv20191);(-122.81954684801715,38.55116291390906);(-122.8194434942849,38.55118979068237) l(r:p10udm20007-p10ulv20169);(-122.81943244630583,38.55101854485919);(-122.81961631338815,38.550919695841706) l(r:p10udm20006-p10udm20007);(-122.81948964710439,38.55109072939841);(-122.81943244630583,38.55101854485919) l(r:p10udm20006-p10udt5826lv);(-122.81954684801715,38.55116291390906) l(r:p10udt5827lv-p10ulv20093);(-122.81852018464257,38.55326113215082);(-122.81854243553873,38.55354053980728) l(r:p10udt5827lv-p10ulv20309);(-122.81852018464257,38.55326113215082);(-122.81846296044145,38.5531979591657) l(r:p10udm20010-p10ulv20070);(-122.81862347375578,38.553261292218636);(-122.81864667592994,38.55316219574543) l(r:p10udm20014-p10ulv20159);(-122.81873826206647,38.55325245796203);(-122.81897958634765,38.55312666282) l(r:p10udm20014-p10ulv20170);(-122.81873826206647,38.55325245796203);(-122.81885228146615,38.553550031683784) l(r:p10udm20014-p10ulv20211);(-122.81873826206647,38.55325245796203);(-122.8190937420512,38.55337016429831) l(r:p10udm20014-p10ulv20328);(-122.81873826206647,38.55325245796203);(-122.81870405869226,38.55316228462104) l(r:p10udm20010-p10udm20014);(-122.81873826206647,38.55325245796203) l(r:p10udm20010-p10udt5827lv);(-122.81862347375578,38.553261292218636) l(r:p10udm20020-p10ulv20316);(-122.8184054189622,38.553260954190826);(-122.81846252984822,38.55336918721616) l(r:p10udm20011-p10ulv20083);(-122.81830210716787,38.55326980593325);(-122.81825638239893,38.55319763865618) l(r:p10udm20013-p10ulv20128);(-122.8181873414751,38.553269627759434);(-122.81816456993663,38.55319749609048) l(r:p10udm20013-p10ulv20237);(-122.8181873414751,38.553269627759434);(-122.81819861378227,38.55335075360421) l(r:p10udm20018-p10ulv20280);(-122.81807255307183,38.55327846147559);(-122.81811789170652,38.55350383286156) l(r:p10udm20018-p10ulv20331);(-122.81807255307183,38.55327846147559);(-122.81804953178757,38.55330546181212) l(r:p10udm20013-p10udm20018);(-122.81807255307183,38.55327846147559) l(r:p10udm20011-p10udm20013);(-122.8181873414751,38.553269627759434) l(r:p10udm20011-p10udm20020);(-122.81830210716787,38.55326980593325) l(r:p10udm20020-p10udt5827lv);(-122.8184054189622,38.553260954190826) l(r:p10udt5828lv-p10ulv20141);(-122.81705406164309,38.55212333340589);(-122.81706508130176,38.5523035914111) l(r:p10udm20024-p10ulv20082);(-122.8165953725193,38.55197842310177);(-122.81654953576934,38.551951315167344) l(r:p10udm20024-p10udt5828lv);(-122.81695077416705,38.55212317195743);(-122.81684750955533,38.5521139984142);(-122.81674422209386,38.55211383678357);(-122.81674456523842,38.55197865672802);(-122.8165953725193,38.55197842310177) l(r:p10udm20026-p10ulv20279);(-122.81715734911997,38.55212349476321);(-122.81713403110301,38.552267650972595) l(r:p10udm20023-p10ulv20071);(-122.81737537766514,38.55213284711109);(-122.8173637188845,38.552204925238975) l(r:p10udm20023-p10udm20026);(-122.81726063659767,38.55212365602941);(-122.81737537766514,38.55213284711109) l(r:p10udm20026-p10udt5828lv);(-122.81715734911997,38.55212349476321) l(r:p10udm20027-p10ulv20315);(-122.81673139590043,38.55264552700673);(-122.8169036343654,38.55260974835094) l(r:p10udm20027-p10udt5828lv);(-122.81695075131645,38.552132183961106);(-122.81684621947686,38.55212295331536);(-122.81674419921745,38.55212284878716);(-122.81674385607043,38.55225802883937);(-122.81674346716767,38.552411232894734);(-122.81674316976945,38.55252838893438);(-122.81673139590043,38.55264552700673) l(r:p10udt5829lv-p10ulv20205);(-122.81764074598088,38.55157451428728);(-122.81759447646591,38.55171863485052) l(r:p10udt5829lv-p10ulv20228);(-122.81764074598088,38.55157451428728);(-122.81758370605067,38.55143924481919) l(r:p10udt5829lv-p10ulv20229);(-122.81764074598088,38.55157451428728);(-122.81766399447395,38.55145739397208) l(r:p10udm20037-p10ulv20277);(-122.81774403267593,38.55157467512509);(-122.81774364590517,38.551727879206936) l(r:p10udm20029-p10ulv20085);(-122.8178587956714,38.55157485372689);(-122.81788133905798,38.55173710552154) l(r:p10udm20031-p10ulv20133);(-122.81797353594538,38.551584044221244);(-122.81796167333604,38.55173723046084) l(r:p10udm20031-p10ulv20176);(-122.81797353594538,38.551584044221244);(-122.81798535306127,38.55144888198683) l(r:p10udm20028-p10ulv20072);(-122.81808829895722,38.55158422259811);(-122.8180993892425,38.55173744451426) l(r:p10udm20028-p10ulv20094);(-122.81808829895722,38.55158422259811);(-122.8181115921381,38.551449078181875) l(r:p10udm20028-p10udm20031);(-122.81808829895722,38.55158422259811) l(r:p10udm20029-p10udm20031);(-122.81797353594538,38.551584044221244) l(r:p10udm20029-p10udm20037);(-122.8178587956714,38.55157485372689) l(r:p10udm20037-p10udt5829lv);(-122.81774403267593,38.55157467512509) l(r:p10udm20040-p10ulv20326);(-122.81752598298735,38.55157433547173);(-122.8175371176317,38.55170953342924) l(r:p10udm20038-p10ulv20278);(-122.81741121999482,38.55157415654367);(-122.81738792546214,38.55170930081435) l(r:p10udm20038-p10ulv20333);(-122.81741121999482,38.55157415654367);(-122.8174345144393,38.55143901226503) l(r:p10udm20033-p10ulv20185);(-122.817307956108,38.55156498340754);(-122.81733049825317,38.55172723530546) l(r:p10udm20039-p10ulv20303);(-122.81719319313174,38.5515648042658);(-122.81718132887026,38.55171799042276) l(r:p10udm20033-p10udm20039);(-122.81719319313174,38.5515648042658) l(r:p10udm20033-p10udm20038);(-122.817307956108,38.55156498340754) l(r:p10udm20038-p10udm20040);(-122.81741121999482,38.55157415654367) l(r:p10udm20040-p10udt5829lv);(-122.81752598298735,38.55157433547173) l(r:p10udt5830lv-p10ulv20073);(-122.8200506567631,38.551623303457355);(-122.8200617512197,38.55177652519673) l(r:p10udt5830lv-p10ulv20286);(-122.8200506567631,38.551623303457355);(-122.82005097124744,38.551497135371875) l(r:p10udm20043-p10ulv20144);(-122.8199588462939,38.55162316230362);(-122.81998143936055,38.55176738969262) l(r:p10udm20044-p10ulv20194);(-122.81975229524105,38.55161383243863);(-122.81977484289646,38.551776083877996) l(r:p10udm20043-p10udm20044);(-122.8198670583115,38.55161400907199);(-122.81975229524105,38.55161383243863) l(r:p10udm20043-p10udt5830lv);(-122.8199588462939,38.55162316230362) l(r:p10udm20045-p10ulv20262);(-122.82032593117232,38.55168681052922);(-122.8201649035229,38.55183075593406) l(r:p10udm20045-p10udt5830lv);(-122.82013096847096,38.55163243891385);(-122.82023423282278,38.55164160956098);(-122.82032593117232,38.55168681052922) l(r:p10udt5831lv-p10ulv20112);(-122.82201827202466,38.55418573026771);(-122.82222436466999,38.55438430821137) l(r:p10udt5831lv-p10ulv20198);(-122.82201827202466,38.55418573026771);(-122.82185724214693,38.55432967793341) l(r:p10udt5831lv-p10ulv20232);(-122.82201827202466,38.55418573026771);(-122.82232792158482,38.55427632097954) l(r:p10udt5831lv-p10ulv20255);(-122.82201827202466,38.55418573026771);(-122.8217876919994,38.554608945207875) l(r:p10udt5831lv-p10ulv20263);(-122.82201827202466,38.55418573026771);(-122.82196039944594,38.55438390707709) l(r:p10udm20047-p10ulv20074);(-122.8219841974548,38.55404148586935);(-122.82217930125988,38.55404178237541) l(r:p10udm20050-p10ulv20204);(-122.8219269695997,38.553978314577066);(-122.82205341306286,38.553897398501704) l(r:p10udm20052-p10ulv20242);(-122.82175528663478,38.553788800529695);(-122.82190470547279,38.553698907552885) l(r:p10udm20050-p10udm20052);(-122.82186971960671,38.553924155259715);(-122.82181249194461,38.553860983910674);(-122.82175528663478,38.553788800529695) l(r:p10udm20047-p10udm20050);(-122.8219269695997,38.553978314577066) l(r:p10udm20047-p10udt5831lv);(-122.82200697307573,38.55411361679476);(-122.8219841974548,38.55404148586935) l(r:p10udt5832lv-p10ulv20086);(-122.81741288383324,38.55091628015856);(-122.81744760865557,38.550799177770934) l(r:p10udt5832lv-p10ulv20201);(-122.81741288383324,38.55091628015856);(-122.8173666598865,38.55104237663681) l(r:p10udm20055-p10ulv20075);(-122.81751616958526,38.5509164411951);(-122.81751639737519,38.55082632113604) l(r:p10udm20055-p10ulv20257);(-122.81751616958526,38.5509164411951);(-122.8174928754661,38.55105158550287) l(r:p10udm20063-p10ulv20271);(-122.8177227410918,38.550916762994795);(-122.81771092357542,38.551051925214026) l(r:p10udm20058-p10ulv20152);(-122.81783748030237,38.55092595362091);(-122.81783713921709,38.551061133709084) l(r:p10udm20061-p10ulv20246);(-122.8180440518398,38.55092627485375);(-122.81806666357755,38.55106149061368) l(r:p10udm20058-p10udm20061);(-122.81794076607068,38.550926114282895);(-122.8180440518398,38.55092627485375) l(r:p10udm20058-p10udm20063);(-122.81783748030237,38.55092595362091) l(r:p10udm20055-p10udm20063);(-122.81761945533813,38.55091660214051);(-122.8177227410918,38.550916762994795) l(r:p10udm20055-p10udt5832lv);(-122.81751616958526,38.5509164411951) l(r:p10udm20057-p10ulv20104);(-122.81720633514936,38.55090694580643);(-122.81722894531156,38.551042161724716) l(r:p10udm20057-p10ulv20241);(-122.81720633514936,38.55090694580643);(-122.81724103748864,38.5507988554866) l(r:p10udm20064-p10ulv20320);(-122.81710304941271,38.550906784496604);(-122.81713777473261,38.55078968220192) l(r:p10udm20065-p10ulv20334);(-122.81678132721143,38.55105948615221);(-122.81693058658982,38.55103268352081) l(r:p10udm20064-p10udm20065);(-122.81699976367686,38.55090662309563);(-122.81689650079824,38.55089744959801);(-122.81679321507696,38.55089728801486);(-122.81678132721143,38.55105948615221) l(r:p10udm20057-p10udm20064);(-122.81710304941271,38.550906784496604) l(r:p10udm20057-p10udt5832lv);(-122.81730962088686,38.550907107025154);(-122.81720633514936,38.55090694580643) l(r:p10udt5833lv-p10ulv20106);(-122.8198049304637,38.553515453705074);(-122.81998862363183,38.55348870018431) l(r:p10udm20069-p10ulv20275);(-122.81979302641213,38.55368666409372);(-122.8196553968312,38.55365040401295) l(r:p10udm20066-p10ulv20076);(-122.8197928239382,38.55376777211741);(-122.81964358246475,38.55378556637174) l(r:p10udm20066-p10udm20069);(-122.8197928239382,38.55376777211741) l(r:p10udm20069-p10udt5833lv);(-122.8198047280044,38.55359656173121);(-122.81979302641213,38.55368666409372) l(r:p10udm20070-p10ulv20327);(-122.81981663201256,38.55342535133863);(-122.8196560272251,38.55339806793235) l(r:p10udm20068-p10ulv20149);(-122.81981705939481,38.55325412327701);(-122.81965638744666,38.55325387588139) l(r:p10udm20068-p10udm20070);(-122.81981683445723,38.55334424331007);(-122.81981705939481,38.55325412327701) l(r:p10udm20070-p10udt5833lv);(-122.81981663201256,38.55342535133863) l(r:p10udm20071-p10ulv20078);(-122.81890065220678,38.55256779435548);(-122.81891239993762,38.55245966805891) l(r:p10udm20071-p10ulv20285);(-122.81890065220678,38.55256779435548);(-122.8188888366127,38.55270295666015) l(r:p10udm20074-p10ulv20225);(-122.81910720587254,38.552577125727886);(-122.8190950744955,38.5528384561067) l(r:p10udm20071-p10udm20074);(-122.81900394033015,38.55256795408521);(-122.81910720587254,38.552577125727886) l(r:p10udm20071-p10udt5834lv);(-122.81890065220678,38.55256779435548) l(r:p10udm20072-p10ulv20134);(-122.81861385397397,38.55252229016431);(-122.8187173683344,38.55243233010664) l(r:p10udm20073-p10ulv20174);(-122.81847634051064,38.552440968677324);(-122.81855690207804,38.552350973169524) l(r:p10udm20076-p10ulv20301);(-122.81825874194743,38.552260390301626);(-122.81835064392223,38.552224484773724) l(r:p10udm20073-p10udm20076);(-122.81841907166019,38.552395819671624);(-122.8183617802067,38.55235968264181);(-122.81830453417416,38.5523055215757);(-122.81825874194743,38.552260390301626) l(r:p10udm20072-p10udm20073);(-122.81854508587762,38.55248613544302);(-122.81847634051064,38.552440968677324) l(r:p10udm20072-p10udt5834lv);(-122.81868259950456,38.55256745684902);(-122.81861385397397,38.55252229016431) l(r:p10udm20083-p10ulv20206);(-122.82127262011268,38.55404941374188);(-122.82129586352914,38.55393229274276) l(r:p10udm20083-p10ulv20310);(-122.82127262011268,38.55404941374188);(-122.82126087564801,38.55415754025379) l(r:p10udm20079-p10ulv20124);(-122.82106606194004,38.55404008618145);(-122.82104284045694,38.5541481951292) l(r:p10udm20079-p10ulv20131);(-122.82106606194004,38.55404008618145);(-122.82104342132993,38.55391388305688) l(r:p10udm20086-p10ulv20292);(-122.82095129500519,38.55403991071418);(-122.82094013126923,38.55391372512186) l(r:p10udm20077-p10ulv20079);(-122.82084800476468,38.554039752697456);(-122.8208476916448,38.5541659207335) l(r:p10udm20077-p10udm20086);(-122.82084800476468,38.554039752697456) l(r:p10udm20079-p10udm20086);(-122.82095129500519,38.55403991071418) l(r:p10udm20079-p10udm20083);(-122.82116932985676,38.55404925600862);(-122.82106606194004,38.55404008618145) l(r:p10udm20083-p10udt5835lv);(-122.82127262011268,38.55404941374188) l(r:p10udm20084-p10ulv20220);(-122.82146779079642,38.55402267542492);(-122.82149047675317,38.55413085446127) l(r:p10udm20085-p10ulv20260);(-122.82154823902478,38.553977737893945);(-122.82159387849059,38.554085951896795) l(r:p10udm20078-p10ulv20108);(-122.82164085409146,38.55365344568572);(-122.82176731955413,38.55356351791044) l(r:p10udm20078-p10ulv20148);(-122.82164085409146,38.55365344568572);(-122.82154890736531,38.55370737779431) l(r:p10udm20078-p10ulv20177);(-122.82164085409146,38.55365344568572);(-122.8218017049164,38.55358159432963) l(r:p10udm20078-p10udm20085);(-122.82164014156322,38.55394182979568);(-122.82173206626564,38.55389690962151);(-122.82174396979147,38.553787301729216);(-122.82169805917991,38.55372562912375);(-122.82164085409146,38.55365344568572) l(r:p10udm20084-p10udm20085);(-122.82154823902478,38.553977737893945) l(r:p10udm20084-p10udt5835lv);(-122.82146779079642,38.55402267542492) l(r:p10udm20098-p10ulv20180);(-122.82028928127731,38.552578946214595);(-122.82024306127411,38.55270504380227) l(r:p10udm20098-p10ulv20265);(-122.82028928127731,38.552578946214595);(-122.8203010493634,38.552461807769) l(r:p10udm20101-p10ulv20293);(-122.8201745166702,38.55257876999143);(-122.82017420238965,38.5527049380544) l(r:p10udm20095-p10ulv20084);(-122.82007122852471,38.55257861129441);(-122.82009454078171,38.55243445449363) l(r:p10udm20097-p10ulv20132);(-122.81974986513123,38.55258712899195);(-122.8197731780325,38.55244297225694) l(r:p10udm20095-p10udm20097);(-122.81996794038001,38.55257845250627);(-122.81986465223613,38.55257829362698);(-122.81974986513123,38.55258712899195) l(r:p10udm20095-p10udm20101);(-122.82007122852471,38.55257861129441) l(r:p10udm20098-p10udm20101);(-122.8201745166702,38.55257876999143) l(r:p10udm20098-p10udt5837lv);(-122.82028928127731,38.552578946214595) l(r:p10udm20099-p10ulv20186);(-122.82049585757257,38.55257926313277);(-122.82052992848806,38.552723507991566) l(r:p10udm20099-p10ulv20324);(-122.82049585757257,38.55257926313277);(-122.82054221146834,38.55239909341123) l(r:p10udm20102-p10ulv20321);(-122.82061062218244,38.552579439040926);(-122.82065702056842,38.55238124526301) l(r:p10udm20096-p10ulv20116);(-122.82071386556976,38.552597621271765);(-122.82082909991291,38.552408544860555) l(r:p10udm20096-p10udm20102);(-122.82071386556976,38.552597621271765) l(r:p10udm20099-p10udm20102);(-122.82061062218244,38.552579439040926) l(r:p10udm20099-p10udt5837lv);(-122.82049585757257,38.55257926313277) l(r:p10udt5838lv-p10ulv20164);(-122.81740350458531,38.55008715766947);(-122.81746065704637,38.55017736721862) l(r:p10udm20105-p10ulv20138);(-122.81731165047523,38.550105038458625);(-122.81725440701358,38.55005087686449) l(r:p10udm20113-p10ulv20308);(-122.81720832025155,38.55012290125834);(-122.81728776325768,38.55047449491536) l(r:p10udm20108-p10ulv20217);(-122.8171049899771,38.55014076396669);(-122.81713893885414,38.55033006988592) l(r:p10udm20109-p10ulv20231);(-122.81703613355035,38.5501406563791);(-122.81704742692595,38.550212770367104) l(r:p10udm20107-p10ulv20183);(-122.81686399248512,38.55014038723297);(-122.81688717319604,38.55005030306588) l(r:p10udm20107-p10udm20109);(-122.81696725427706,38.550149560757774);(-122.81686399248512,38.55014038723297) l(r:p10udm20108-p10udm20109);(-122.81703613355035,38.5501406563791) l(r:p10udm20108-p10udm20113);(-122.8171049899771,38.55014076396669) l(r:p10udm20105-p10udm20113);(-122.81720832025155,38.55012290125834) l(r:p10udm20105-p10udt5838lv);(-122.81731165047523,38.550105038458625) l(r:p10udm20110-p10ulv20240);(-122.81750681193068,38.55007830670427);(-122.81752955906329,38.55015945054264) l(r:p10udm20110-p10ulv20302);(-122.81750681193068,38.55007830670427);(-122.81747261158402,38.549988132962) l(r:p10udm20104-p10ulv20087);(-122.81758775913413,38.549835107697525);(-122.81742714039335,38.549816833246076) l(r:p10udm20114-p10ulv20332);(-122.81779482790083,38.54963716519185);(-122.81782918765872,38.54966425479084) l(r:p10udm20111-p10ulv20300);(-122.81798998791515,38.54961043262636);(-122.81795537816754,38.54968247516262) l(r:p10udm20111-p10udm20114);(-122.81788668129703,38.549619284020416);(-122.81798998791515,38.54961043262636) l(r:p10udm20104-p10udm20114);(-122.8175879412876,38.54976301163676);(-122.81757667021097,38.549681885686425);(-122.81759969051083,38.549654885423706);(-122.81769152122118,38.54964601641351);(-122.81779482790083,38.54963716519185) l(r:p10udm20104-p10udm20110);(-122.81761014201768,38.550060443640625);(-122.81759884810303,38.5499883297037);(-122.81759905301432,38.54990722163734);(-122.81758775913413,38.549835107697525) l(r:p10udm20110-p10udt5838lv);(-122.81750681193068,38.55007830670427) l(r:p10udt5839lv-p10ulv20254);(-122.81870964863054,38.55093631946439);(-122.81872078539833,38.5510715173293) l(r:p10udt5839lv-p10ulv20256);(-122.81870964863054,38.55093631946439);(-122.81869846664071,38.5508191456086) l(r:p10udm20121-p10ulv20187);(-122.81882438800167,38.55094550912366);(-122.81881320582418,38.55082833527853) l(r:p10udm20123-p10ulv20248);(-122.81892767380359,38.55094566891503);(-122.81889290609419,38.551080795756974) l(r:p10udm20117-p10ulv20129);(-122.81895182399029,38.55046806804737);(-122.81907824202824,38.55039616713724) l(r:p10udm20115-p10ulv20088);(-122.81889462422139,38.55039588324021);(-122.81877970474703,38.5504587897089) l(r:p10udm20120-p10ulv20165);(-122.81883740195303,38.55033271041189);(-122.81891793810482,38.55025172662387) l(r:p10udm20120-p10ulv20208);(-122.81883740195303,38.55033271041189);(-122.81869953030443,38.55039558128408) l(r:p10udm20115-p10udm20120);(-122.81883740195303,38.55033271041189) l(r:p10udm20115-p10udm20117);(-122.81889462422139,38.55039588324021) l(r:p10udm20117-p10udm20123);(-122.81904243580672,38.55094584635413);(-122.81914572161037,38.55094600595315);(-122.81926046105409,38.55095519518517);(-122.81930654630665,38.550883169990044);(-122.81924934585514,38.55081098535964);(-122.81919212294817,38.550747812707634);(-122.81913492271798,38.550675628020194);(-122.819077722602,38.550603443304205);(-122.81902050000929,38.55054027056682);(-122.81895182399029,38.55046806804737) l(r:p10udm20121-p10udm20123);(-122.81892767380359,38.55094566891503) l(r:p10udm20121-p10udt5839lv);(-122.81882438800167,38.55094550912366) l(r:p10udm20127-p10ulv20297);(-122.81859488664469,38.55093614169912);(-122.81862897563758,38.55107137513438) l(r:p10udm20118-p10ulv20137);(-122.81849160085827,38.55093598161418);(-122.81850334892637,38.5508278553293) l(r:p10udm20118-p10ulv20163);(-122.81849160085827,38.55093598161418);(-122.81851423609214,38.55106218528339) l(r:p10udm20126-p10ulv20264);(-122.81837683887433,38.550935803635156);(-122.81836563475194,38.55082764175529) l(r:p10udm20116-p10ulv20089);(-122.81827357577417,38.55092663135165);(-122.81828471172376,38.55106182925625) l(r:p10udm20116-p10udm20126);(-122.81827357577417,38.55092663135165) l(r:p10udm20118-p10udm20126);(-122.81837683887433,38.550935803635156) l(r:p10udm20118-p10udm20127);(-122.81849160085827,38.55093598161418) l(r:p10udm20127-p10udt5839lv);(-122.81859488664469,38.55093614169912) l(r:p10udm20129-p10ulv20212);(-122.81943639410085,38.55402857200019);(-122.81939019421877,38.55414565721219) l(r:p10udm20129-p10ulv20318);(-122.81943639410085,38.55402857200019);(-122.81944816381615,38.553911433673356) l(r:p10udm20129-p10udt5840lv);(-122.81943639410085,38.55402857200019) l(r:p10udm20130-p10ulv20290);(-122.81966592791643,38.554028925792274);(-122.81967708944158,38.55415511149825) l(r:p10udm20128-p10ulv20090);(-122.81976921813475,38.55402908485189);(-122.8197574039166,38.554164247213784) l(r:p10udm20128-p10udm20130);(-122.81976921813475,38.55402908485189) l(r:p10udm20130-p10udt5840lv);(-122.81966592791643,38.554028925792274) l(r:p10udt5841lv-p10ulv20230);(-122.82133183260356,38.55331051704794);(-122.82145834242502,38.55320256559216) l(r:p10udm20132-p10ulv20091);(-122.8212174016105,38.55317516178272);(-122.82136677524227,38.55310329349028) l(r:p10udm20132-p10udt5841lv);(-122.8212746058976,38.553247345431615);(-122.8212174016105,38.55317516178272) l(r:p10udm20133-p10ulv20113);(-122.82140051370126,38.55338271814842);(-122.82130854483425,38.55344566207494) l(r:p10udm20133-p10ulv20253);(-122.82140051370126,38.55338271814842);(-122.8215155024117,38.55329277313198) l(r:p10udm20134-p10ulv20135);(-122.8214577406245,38.55344588970224);(-122.8215841836767,38.55336497412532) l(r:p10udm20133-p10udm20134);(-122.8214577406245,38.55344588970224) l(r:p10udm20133-p10udt5841lv);(-122.82140051370126,38.55338271814842) l(r:p10udt5842lv-p10ulv20267);(-122.81966905715383,38.55277625736529);(-122.81955417960627,38.55282114055313) l(r:p10udm20139-p10ulv20195);(-122.8196577382545,38.55271315565858);(-122.81953149695647,38.55271296112536) l(r:p10udm20146-p10ulv20323);(-122.81942854674872,38.55257762179874);(-122.81942890741405,38.55243342972933) l(r:p10udm20144-p10ulv20299);(-122.81922197047028,38.5525773029973);(-122.8192222864136,38.55245113493819) l(r:p10udm20144-p10ulv20306);(-122.81922197047028,38.5525773029973);(-122.81926821473434,38.552442193809796) l(r:p10udm20144-p10udm20146);(-122.8193252586091,38.55257746244358);(-122.81922197047028,38.5525773029973) l(r:p10udm20139-p10udm20146);(-122.81965789584639,38.55265007162932);(-122.81964657697615,38.55258696992025);(-122.81954331134925,38.55257779875315);(-122.81942854674872,38.55257762179874) l(r:p10udm20139-p10udt5842lv);(-122.8196577382545,38.55271315565858) l(r:p10udm20142-p10ulv20259);(-122.81974901006467,38.552929585148014);(-122.81988684068291,38.55288473708472) l(r:p10udm20140-p10ulv20207);(-122.8197717606049,38.55301072851946);(-122.81961108919887,38.553010481062984) l(r:p10udm20137-p10ulv20092);(-122.81980598773794,38.55309188955031);(-122.81995516030842,38.55310113109435) l(r:p10udm20137-p10ulv20130);(-122.81980598773794,38.55309188955031);(-122.81963379457619,38.55310964846682) l(r:p10udm20141-p10ulv20251);(-122.82016113192546,38.553344772702715);(-122.82017285545062,38.55324565829333) l(r:p10udm20137-p10udm20141);(-122.81980578528288,38.55317299758232);(-122.81982846825274,38.55325314562238);(-122.81982831103937,38.553344260972814);(-122.81993160027896,38.55334441988679);(-122.8200463661017,38.553344596351);(-122.82016113192546,38.553344772702715) l(r:p10udm20137-p10udm20140);(-122.81980598773794,38.55309188955031) l(r:p10udm20140-p10udm20142);(-122.8197717606049,38.55301072851946) l(r:p10udm20142-p10udt5842lv);(-122.81971478307199,38.55284842409859);(-122.81974901006467,38.552929585148014) l(r:p10udm20147-p10ulv20095);(-122.82083974882552,38.55274200660606);(-122.821012186605,38.55262511385837) l(r:p10udm20147-p10ulv20157);(-122.82083974882552,38.55274200660606);(-122.82077068853512,38.55282300925024) l(r:p10udm20147-p10ulv20288);(-122.82083974882552,38.55274200660606);(-122.8210005984091,38.55267015633645) l(r:p10udm20147-p10udt5843lv);(-122.82083974882552,38.55274200660606) l(r:p10udm20150-p10ulv20273);(-122.82096563258423,38.55288639180266);(-122.82110359636624,38.55278747027653) l(r:p10udm20149-p10ulv20160);(-122.82103431291299,38.55295859312135);(-122.82117218744266,38.55289571953412) l(r:p10udm20149-p10udm20150);(-122.82103431291299,38.55295859312135) l(r:p10udm20150-p10udt5843lv);(-122.82096563258423,38.55288639180266) l(r:p10udt5844lv-p10ulv20096);(-122.81811986709286,38.552719788622426);(-122.81793624338576,38.55271950320789) l(r:p10udt5844lv-p10ulv20143);(-122.81811986709286,38.552719788622426);(-122.81822322350594,38.55269291303125) l(r:p10udm20154-p10ulv20196);(-122.8179356069958,38.55297183929381);(-122.81793583427886,38.55288171926436) l(r:p10udm20154-p10udt5844lv);(-122.81810798189099,38.552881986850466);(-122.8179356069958,38.55297183929381) l(r:p10udm20155-p10ulv20247);(-122.81813177494338,38.55254857838491);(-122.8182580159442,38.55254877442676) l(r:p10udm20155-p10ulv20281);(-122.81813177494338,38.55254857838491);(-122.8181549548586,38.55245849400196) l(r:p10udm20155-p10ulv20296);(-122.81813177494338,38.55254857838491);(-122.8179366524894,38.5525572871469) l(r:p10udm20155-p10udt5844lv);(-122.81813177494338,38.55254857838491) l(r:p10udt5845lv-p10ulv20276);(-122.81897192898649,38.55160361634299);(-122.81892563950423,38.55175674944333) l(r:p10udt5845lv-p10ulv20287);(-122.81897192898649,38.55160361634299);(-122.81900665161686,38.551486513501345) l(r:p10udm20161-p10ulv20105);(-122.81908669203577,38.551603793741236);(-122.81906333286867,38.551765974368195) l(r:p10udm20161-p10ulv20270);(-122.81908669203577,38.551603793741236);(-122.81905260193749,38.551468560448875) l(r:p10udm20160-p10ulv20103);(-122.81931621813736,38.55160414820028);(-122.81930503506368,38.551486974413166) l(r:p10udm20160-p10ulv20122);(-122.81931621813736,38.55160414820028);(-122.8192814282734,38.55174828714883) l(r:p10udm20168-p10ulv20203);(-122.81941948234238,38.55161331956576);(-122.81943059799843,38.55175752935646) l(r:p10udm20166-p10ulv20162);(-122.81953424540993,38.55161349652535);(-122.81955679257503,38.551775748005525) l(r:p10udm20171-p10ulv20261);(-122.81964900847848,38.55161367337243);(-122.81963712690356,38.551775871795265) l(r:p10udm20166-p10udm20171);(-122.81964900847848,38.55161367337243) l(r:p10udm20166-p10udm20168);(-122.81953424540993,38.55161349652535) l(r:p10udm20160-p10udm20168);(-122.81941948234238,38.55161331956576) l(r:p10udm20160-p10udm20161);(-122.81920145508607,38.55160397102702);(-122.81931621813736,38.55160414820028) l(r:p10udm20169-p10ulv20218);(-122.81920145508607,38.55160397102702);(-122.81922400150007,38.55176622256971) l(r:p10udm20161-p10udm20169);(-122.81920147765528,38.55159495902139) l(r:p10udm20161-p10udt5845lv);(-122.81908669203577,38.551603793741236) l(r:p10udm20165-p10ulv20142);(-122.81886866485375,38.5515944445829);(-122.81885678152925,38.55175664292278) l(r:p10udm20165-p10ulv20298);(-122.81886866485375,38.5515944445829);(-122.81883455255358,38.55146822323348) l(r:p10udm20167-p10ulv20193);(-122.81875390182071,38.55159426697096);(-122.81871913346907,38.55172939374473) l(r:p10udm20162-p10ulv20117);(-122.8185358520607,38.551593929198305);(-122.81851251431522,38.551747097707576) l(r:p10udm20158-p10ulv20097);(-122.81863913878867,38.55159408924651);(-122.81863879919632,38.55172926932521) l(r:p10udm20158-p10ulv20252);(-122.81863913878867,38.55159408924651);(-122.81866240830568,38.551467956723954) l(r:p10udm20158-p10udm20162);(-122.81863913878867,38.55159408924651) l(r:p10udm20176-p10ulv20329);(-122.81842108903061,38.551593751260114);(-122.81844435892829,38.55146761878183) l(r:p10udm20159-p10ulv20101);(-122.81830634868247,38.55158456120424);(-122.81829450946167,38.55172873547432) l(r:p10udm20159-p10ulv20136);(-122.81830634868247,38.55158456120424);(-122.81831811981675,38.551467422945514) l(r:p10udm20159-p10udm20176);(-122.81830634868247,38.55158456120424) l(r:p10udm20162-p10udm20176);(-122.81842108903061,38.551593751260114) l(r:p10udm20162-p10udm20167);(-122.81863911614926,38.551603101251835);(-122.8185358520607,38.551593929198305) l(r:p10udm20165-p10udm20167);(-122.81875390182071,38.55159426697096) l(r:p10udm20165-p10udt5845lv);(-122.81886866485375,38.5515944445829) l(r:p10udm20185-p10ulv20102);(-122.81841369281521,38.5499715722918);(-122.81839058204106,38.550034620744256) l(r:p10udm20185-p10udt5847lv);(-122.81841369281521,38.5499715722918) l(r:p10udm20186-p10ulv20118);(-122.81860851387991,38.55008001881455);(-122.81849357200484,38.55015193701393) l(r:p10udm20186-p10udt5847lv);(-122.81856270022105,38.550043899652884);(-122.81860851387991,38.55008001881455) l(r:p10udm20188-p10ulv20226);(-122.81854001991375,38.549935719987374);(-122.8184942743489,38.54987256477458) l(r:p10udm20187-p10ulv20139);(-122.81860901200173,38.54988175464236);(-122.81867780028794,38.549908897328265) l(r:p10udm20187-p10udm20188);(-122.81860901200173,38.54988175464236) l(r:p10udm20188-p10udt5847lv);(-122.81854001991375,38.549935719987374) l(r:p10udm20189-p10ulv20107);(-122.81676109668165,38.54998702150867);(-122.81685306508125,38.549924081116096) l(r:p10udm20190-p10ulv20171);(-122.81676146264093,38.54984282939665);(-122.81701393516207,38.54984322427625) l(r:p10udm20189-p10udm20190);(-122.81676146264093,38.54984282939665) l(r:p10udm20191-p10ulv20223);(-122.81678290527834,38.55043765775717);(-122.81694361663354,38.550419885068415) l(r:p10udm20189-p10udm20191);(-122.81677220678918,38.550131231578206);(-122.81677181797617,38.55028443568962);(-122.81678290527834,38.55043765775717) l(r:p10udm20189-p10udt5848lv);(-122.81686270244492,38.550149342137416);(-122.81677076066313,38.55014017176531);(-122.81676109668165,38.54998702150867) l(r:p10udm20193-p10ulv20161);(-122.82096458212182,38.553309955999296);(-122.82109060112589,38.55340026902818) l(r:p10udm20195-p10ulv20236);(-122.82104502986604,38.553265018807465);(-122.8209418972067,38.55320177684835) l(r:p10udm20193-p10udm20195);(-122.82104502986604,38.553265018807465) l(r:p10udm20193-p10udt5849lv);(-122.82096458212182,38.553309955999296) l(r:p10udm20194-p10ulv20216);(-122.820780867391,38.5533457230579);(-122.82079263480952,38.55322858457664) l(r:p10udm20192-p10ulv20110);(-122.82072346209567,38.55335464720354);(-122.82075762333199,38.553462843964965) l(r:p10udm20192-p10udm20194);(-122.82072346209567,38.55335464720354) l(r:p10udm20194-p10udt5849lv);(-122.82084972688897,38.55334582845042);(-122.820780867391,38.5533457230579) l(r:p10udt5850lv-p10ulv20127);(-122.82042336711773,38.55403910211568);(-122.82045811099663,38.553912986886836) l(r:p10udm20199-p10ulv20158);(-122.8203086001885,38.55403892601837);(-122.82031980770212,38.554147087661136) l(r:p10udm20196-p10ulv20114);(-122.82020533239887,38.55402975543225);(-122.82021710086693,38.55391261702509) l(r:p10udm20200-p10ulv20166);(-122.82010204217713,38.55402959675742);(-122.8201138108127,38.55391245836104) l(r:p10udm20200-p10ulv20313);(-122.82010204217713,38.55402959675742);(-122.82010170529468,38.55416477679064) l(r:p10udm20196-p10udm20200);(-122.82010204217713,38.55402959675742) l(r:p10udm20196-p10udm20199);(-122.82020533239887,38.55402975543225) l(r:p10udm20199-p10udt5850lv);(-122.8203086001885,38.55403892601837) l(r:p10udm20202-p10ulv20330);(-122.82052665735492,38.55403926050706);(-122.82051482214561,38.55418343495088) l(r:p10udm20198-p10ulv20140);(-122.82062994759292,38.554039418807314);(-122.8206296788779,38.554147562837095) l(r:p10udm20198-p10ulv20335);(-122.82062994759292,38.554039418807314);(-122.82065319204831,38.553922297938094) l(r:p10udm20198-p10udm20202);(-122.82062994759292,38.554039418807314) l(r:p10udm20202-p10udt5850lv);(-122.82052665735492,38.55403926050706) l(r:p10udt5851lv-p10ulv20119);(-122.81831170114512,38.554017819912474);(-122.8183004739768,38.55391867008411) l(r:p10udm20205-p10ulv20181);(-122.81854123491226,38.55401817590957);(-122.81856391647966,38.55412635550239) l(r:p10udm20205-p10udt5851lv);(-122.81842646802816,38.55401799796727);(-122.81854123491226,38.55401817590957) l(r:p10udm20206-p10ulv20283);(-122.81824279563406,38.55403573702838);(-122.81827690809429,38.55416195849519) l(r:p10udm20206-p10ulv20314);(-122.81824279563406,38.55403573702838);(-122.81818575264826,38.55390046790308) l(r:p10udm20206-p10udt5851lv);(-122.81824279563406,38.55403573702838) l(r:p10udm20209-p10ulv20227);(-122.81674346716767,38.552411232894734);(-122.81666320080524,38.55238407110833) l(r:p10udm20209-p10udt5852lv);(-122.8167560416015,38.55197867469139);(-122.81676717486299,38.552113872709356);(-122.81675533247792,38.55225804680291);(-122.81675494359952,38.55241125085839) l(r:p10udm20210-p10ulv20291);(-122.81675752844163,38.55139289441412);(-122.81690662852995,38.55142917584981) l(r:p10udm20208-p10ulv20120);(-122.8169636671823,38.55156444564485);(-122.81697484646642,38.55168161964472) l(r:p10udm20208-p10udm20210);(-122.81674564040985,38.551555092533704);(-122.81686040336781,38.55155527211411);(-122.8169636671823,38.55156444564485) l(r:p10udm20210-p10udt5852lv);(-122.81674527439658,38.551699284603295);(-122.81673416411414,38.551555074569464);(-122.81675752844163,38.55139289441412) l(r:p10udt5853lv-p10ulv20156);(-122.81919212294817,38.550747812707634);(-122.81930711019169,38.55065786981615) l(r:p10udm20213-p10ulv20168);(-122.81913492271798,38.550675628020194);(-122.81903145658346,38.55074756446787) l(r:p10udm20214-p10ulv20213);(-122.819077722602,38.550603443304205);(-122.81920416332072,38.55052253025277) l(r:p10udm20213-p10udm20214);(-122.81906797887964,38.55060820461901) l(r:p10udm20213-p10udt5853lv);(-122.81912517898961,38.550680389339696) l(r:p10udm20215-p10ulv20245);(-122.81924934585514,38.55081098535964);(-122.8193758092934,38.55072106011911) l(r:p10udm20211-p10ulv20121);(-122.81914572161037,38.55094600595315);(-122.81912240795192,38.55109016259829) l(r:p10udm20211-p10udm20215);(-122.81931629005057,38.55087840865565);(-122.81927071559204,38.55095924132313);(-122.819144557222,38.55095497147086) l(r:p10udm20215-p10udt5853lv);(-122.81925869751974,38.55080576165438) l(r:p10udt5854lv-p10ulv20272);(-122.8187688229009,38.55479356354918);(-122.81864273646163,38.55473028404536) l(r:p10udm20217-p10ulv20155);(-122.81896419992104,38.554685721410785);(-122.81890740376441,38.554451320636495) l(r:p10udm20216-p10ulv20123);(-122.81898699532124,38.55474884090825);(-122.81915921512707,38.554722070957204) l(r:p10udm20218-p10ulv20258);(-122.81904397269952,38.55491114563018);(-122.81904367900843,38.55502830163464) l(r:p10udm20216-p10udm20218);(-122.81904397269952,38.55491114563018) l(r:p10udm20216-p10udm20217);(-122.81898699532124,38.55474884090825) l(r:p10udm20217-p10udt5854lv);(-122.81896419992104,38.554685721410785) l(r:p10udm20220-p10ulv20294);(-122.81880302712094,38.55488373684815);(-122.81886041125225,38.55488382565045) l(r:p10udm20220-p10udt5854lv);(-122.81880302712094,38.55488373684815) l(r:p10udm20221-p10ulv20305);(-122.81855062755864,38.554847297782814);(-122.81848194793035,38.55477509503208) l(r:p10udm20221-p10udt5854lv);(-122.81855062755864,38.554847297782814) l(r:p10udt5857lv-p10ulv20295);(-122.82037916456973,38.55335411946453);(-122.82033298908071,38.553462193063545) l(r:p10udm20229-p10ulv20146);(-122.82049393041069,38.55335429549003);(-122.82045981444755,38.55322807464329) l(r:p10udm20229-p10ulv20312);(-122.82049393041069,38.55335429549003);(-122.82044773267808,38.55347138113808) l(r:p10udm20229-p10udt5857lv);(-122.82049393041069,38.55335429549003) l(r:p10udt5858lv-p10ulv20147);(-122.81794149329905,38.5506377300827);(-122.81806820786424,38.550448674181126) l(r:p10udt5858lv-p10ulv20150);(-122.81794149329905,38.5506377300827);(-122.8182171478313,38.55054803799792) l(r:p10udt5858lv-p10ulv20172);(-122.81794149329905,38.5506377300827);(-122.81791906375256,38.55043041823584) l(r:p10udt5858lv-p10ulv20178);(-122.81794149329905,38.5506377300827);(-122.81768894971468,38.55066437321557) l(r:p10udm20236-p10ulv20202);(-122.81794131149293,38.55070982613408);(-122.8181823109002,38.550710200654805) l(r:p10udm20236-p10udt5858lv);(-122.81794131149293,38.55070982613408) l(r:p10udt5859lv-p10ulv20209);(-122.81763642063198,38.553286794963604);(-122.81768191723269,38.55344908250109) l(r:p10udm20240-p10ulv20289);(-122.81775118634853,38.55328697367754);(-122.81777438972782,38.553187877380616) l(r:p10udm20239-p10ulv20284);(-122.81756835830579,38.55297126759561);(-122.81756810778897,38.55307039962436) l(r:p10udm20237-p10ulv20154);(-122.81739618769024,38.55298001121812);(-122.81736153012174,38.55307007753673) l(r:p10udm20237-p10udm20239);(-122.81739618769024,38.55298001121812) l(r:p10udm20239-p10udm20240);(-122.81756835830579,38.55297126759561) l(r:p10udm20240-p10udt5859lv);(-122.81775118634853,38.55328697367754) l(r:p10udt5860lv-p10ulv20167);(-122.81898880317205,38.55402788081126);(-122.81897764286907,38.55390169503992) l(r:p10udt5860lv-p10ulv20243);(-122.81898880317205,38.55402788081126);(-122.81901153060349,38.554118036315586) l(r:p10udt5861lv-p10ulv20175);(-122.8179606280168,38.55215178266655);(-122.81794885618659,38.5522689208739) l(r:p10udt5867lv-p10ulv20269);(-122.81801196309388,38.549997984641415);(-122.81798878380259,38.55008806903551) l(r:p10udt5867lv-p10ulv20304);(-122.81801196309388,38.549997984641415);(-122.81796626339664,38.549916805208824) l(r:p10udt5869lv-p10ulv20349);(-122.83235662161445,38.555138242826466);(-122.83229976065849,38.55491286047364) l(r:p10udt5869lv-p10ulv20351);(-122.83235662161445,38.555138242826466);(-122.83208138625794,38.555047728006244) l(r:p10udt5869lv-p10ulv20352);(-122.83235662161445,38.555138242826466);(-122.83211525092389,38.5552911015564) l(r:p10udt5869lv-p10ulv20357);(-122.83235662161445,38.555138242826466);(-122.8322757809334,38.555354415906564) l(r:p10udm20262-p10ulv20339);(-122.83247139036479,38.555138407116914);(-122.83251687967038,38.555318712929655) l(r:p10udm20262-p10udt5869lv);(-122.83247139036479,38.555138407116914) l(r:p10udt5870lv-p10ulv20518);(-122.83372236980398,38.55514019058586);(-122.8337229925758,38.554869830366805) l(r:p10udm20267-p10ulv20340);(-122.83349256204102,38.55525702043487);(-122.83357294185888,38.55523911065706) l(r:p10udm20267-p10udt5870lv);(-122.8336190986916,38.5551310318262);(-122.83351580682074,38.55513088498251);(-122.83350418444114,38.55519395270964);(-122.83349256204102,38.55525702043487) l(r:p10udm20269-p10ulv20468);(-122.83383713856541,38.55514035353742);(-122.83386025825355,38.555068290056546) l(r:p10udm20268-p10ulv20360);(-122.83394043045149,38.55514050009763);(-122.83395180367494,38.555185576412285) l(r:p10udm20268-p10udm20269);(-122.83394043045149,38.55514050009763) l(r:p10udm20269-p10udt5870lv);(-122.83383713856541,38.55514035353742) l(r:p10udm20272-p10ulv20354);(-122.83415768360997,38.55549227747703);(-122.83438713955249,38.555528650443925) l(r:p10udm20272-p10ulv20363);(-122.83415768360997,38.55549227747703);(-122.83406580600186,38.55551918339524) l(r:p10udm20271-p10ulv20341);(-122.83416837379576,38.555834749981294);(-122.83438637451594,38.55586209468591) l(r:p10udm20271-p10udm20272);(-122.83415749726359,38.5555733855372);(-122.83415729021115,38.555663505602745);(-122.83416858083562,38.55574462991835);(-122.83416837379576,38.555834749981294) l(r:p10udm20272-p10udt5871lv);(-122.83415768360997,38.55549227747703) l(r:p10udm20273-p10ulv20359);(-122.83415828405434,38.55523092927545);(-122.83438778051935,38.55524927822651) l(r:p10udm20275-p10ulv20595);(-122.83414699351971,38.555149804951924);(-122.83446878008928,38.554961007608455) l(r:p10udm20273-p10udm20275);(-122.83414699351971,38.555149804951924) l(r:p10udm20273-p10udt5871lv);(-122.83415807700545,38.55532104934628);(-122.83415828405434,38.55523092927545) l(r:p10udt5872lv-p10ulv20364);(-122.83291880076419,38.555220154834586);(-122.83307943552279,38.55523840805185) l(r:p10udm20277-p10ulv20344);(-122.83290678148632,38.55545445062123);(-122.8330215298957,38.55546362637973) l(r:p10udm20277-p10ulv20362);(-122.83290678148632,38.55545445062123);(-122.83272306714133,38.555490236408104) l(r:p10udm20276-p10ulv20343);(-122.83289511679614,38.5555355422953);(-122.8330214048139,38.555517698417106) l(r:p10udm20276-p10udm20277);(-122.83289511679614,38.5555355422953) l(r:p10udm20277-p10udt5872lv);(-122.83290713612632,38.55530124651323);(-122.83290694837608,38.5553823545709);(-122.83290678148632,38.55545445062123) l(r:p10udm20280-p10ulv20353);(-122.83291898850004,38.55513904677461);(-122.8331027226911,38.55509424866899) l(r:p10udm20280-p10ulv20361);(-122.83291898850004,38.55513904677461);(-122.83301119961489,38.5549679496438) l(r:p10udm20279-p10ulv20346);(-122.832689450993,38.55513871895879);(-122.83270124116804,38.555003555259304) l(r:p10udm20278-p10ulv20345);(-122.83258615911606,38.55513857129484);(-122.83257501667944,38.55499436277524) l(r:p10udm20278-p10udm20279);(-122.83258615911606,38.55513857129484) l(r:p10udm20279-p10udm20280);(-122.83280421974607,38.555138882922954);(-122.832689450993,38.55513871895879) l(r:p10udm20280-p10udt5872lv);(-122.83291898850004,38.55513904677461) l(r:p10udt5873lv-p10ulv20365);(-122.8046492059768,38.555933406571576);(-122.80443155837061,38.55577983864095) l(r:p10udt5873lv-p10ulv20395);(-122.8046492059768,38.555933406571576);(-122.80466114633313,38.555762197883) l(r:p10udt5873lv-p10ulv20398);(-122.8046492059768,38.555933406571576);(-122.80448904080454,38.55574388652317) l(r:p10udt5873lv-p10ulv20404);(-122.8046492059768,38.555933406571576);(-122.80441954421195,38.55597808327784) l(r:p10udt5873lv-p10ulv20416);(-122.8046492059768,38.555933406571576);(-122.80487918414933,38.55577157352179) l(r:p10udm20286-p10ulv20394);(-122.80468341746038,38.556014571942455);(-122.80490138296734,38.556050983515505) l(r:p10udm20285-p10ulv20368);(-122.80469467500129,38.55609569901097);(-122.80453392365527,38.55612246681792) l(r:p10udm20285-p10udm20286);(-122.80469467500129,38.55609569901097) l(r:p10udm20286-p10udt5873lv);(-122.80466058540799,38.555969473687774);(-122.80468341746038,38.556014571942455) l(r:p10udm20291-p10ulv20366);(-122.80426912147445,38.55642843346267);(-122.80430394359023,38.55628429917474) l(r:p10udm20291-p10udt5874lv);(-122.80469401662853,38.55633902276763);(-122.80469379716916,38.55642013068425);(-122.80459050361873,38.55641995831499);(-122.80448721006915,38.55641978585458);(-122.80437243945958,38.55641959412502);(-122.80426912147445,38.55642843346267) l(r:p10udm20292-p10ulv20378);(-122.8050036778258,38.556420647245226);(-122.80503847413065,38.55628552472583) l(r:p10udm20293-p10ulv20433);(-122.80510697137977,38.556420819249944);(-122.8050958836651,38.55627660828681) l(r:p10udm20292-p10udm20293);(-122.80510697137977,38.556420819249944) l(r:p10udm20292-p10udt5874lv);(-122.80470549367683,38.556339041914086);(-122.80470527423037,38.55642014983077);(-122.8047970907205,38.556420302962394);(-122.80490038427271,38.55642047514938);(-122.8050036778258,38.556420647245226) l(r:p10udt5875lv-p10ulv20391);(-122.80484628824871,38.55520376062253);(-122.80480074632675,38.555068504196356) l(r:p10udm20298-p10ulv20397);(-122.80474299643792,38.55520358839237);(-122.80476560893158,38.555329794568536) l(r:p10udm20294-p10ulv20367);(-122.80462822776033,38.555203396918635);(-122.80464021681803,38.55501416422503) l(r:p10udm20294-p10ulv20426);(-122.80462822776033,38.555203396918635);(-122.80462781310244,38.55535660078942) l(r:p10udm20300-p10ulv20421);(-122.80452491154696,38.55521223648853);(-122.80455887854923,38.55538352182722) l(r:p10udm20294-p10udm20300);(-122.80452491154696,38.55521223648853) l(r:p10udm20294-p10udm20298);(-122.80462822776033,38.555203396918635) l(r:p10udm20298-p10udt5875lv);(-122.80474299643792,38.55520358839237) l(r:p10udm20299-p10ulv20407);(-122.80496105692839,38.555203951882504);(-122.80496139782726,38.55507778398343) l(r:p10udm20295-p10ulv20379);(-122.80506434874104,38.555204123920284);(-122.80504176010305,38.55506890580492) l(r:p10udm20295-p10ulv20382);(-122.80506434874104,38.555204123920284);(-122.80504107858437,38.55532124160197) l(r:p10udm20295-p10udm20299);(-122.80506434874104,38.555204123920284) l(r:p10udm20299-p10udt5875lv);(-122.80496105692839,38.555203951882504) l(r:p10udt5876lv-p10ulv20369);(-122.80387320307213,38.55430093108949);(-122.80409145648653,38.55422920024318) l(r:p10udm20304-p10ulv20380);(-122.80388428805705,38.55444514220995);(-122.80395327091279,38.55440019757659) l(r:p10udm20303-p10ulv20377);(-122.80389537308504,38.55458935332591);(-122.80396416028091,38.55461650463242) l(r:p10udm20305-p10ulv20396);(-122.80396379317058,38.55475168452684);(-122.80407848774608,38.554778912628755) l(r:p10udm20303-p10udm20305);(-122.80396379317058,38.55475168452684) l(r:p10udm20303-p10udm20304);(-122.80389537308504,38.55458935332591) l(r:p10udm20304-p10udt5876lv);(-122.80388428805705,38.55444514220995) l(r:p10udm20307-p10ulv20422);(-122.80388590396146,38.55385035062271);(-122.80395459255276,38.55391354991306) l(r:p10udm20306-p10ulv20419);(-122.8038861487913,38.553760230679956);(-122.80394372778599,38.55368823083616) l(r:p10udm20306-p10udm20307);(-122.8038861487913,38.553760230679956) l(r:p10udm20307-p10udt5876lv);(-122.80386221607526,38.55412067198958);(-122.80387406004108,38.55398551130836);(-122.80388590396146,38.55385035062271) l(r:p10udt5877lv-p10ulv20403);(-122.80253603589365,38.55644354711843);(-122.80257019593839,38.55654273707278) l(r:p10udm20308-p10ulv20370);(-122.80235213143477,38.556542369118645);(-122.8023978177101,38.55662355451905) l(r:p10udm20308-p10udt5877lv);(-122.80235213143477,38.556542369118645) l(r:p10udm20311-p10ulv20409);(-122.80270846280176,38.55634470548516);(-122.80282303633729,38.55641699476349) l(r:p10udm20309-p10ulv20372);(-122.80284638429667,38.556272841585525);(-122.80286901841178,38.55639003610728) l(r:p10udm20309-p10udm20311);(-122.80284638429667,38.556272841585525) l(r:p10udm20311-p10udt5877lv);(-122.80270846280176,38.55634470548516) l(r:p10udm20313-p10ulv20376);(-122.80404236929718,38.55540068247758);(-122.80412273204297,38.555391804931666) l(r:p10udm20312-p10ulv20371);(-122.80404290752148,38.55520241865247);(-122.80413474691218,38.55519356030726) l(r:p10udm20315-p10ulv20399);(-122.80404371484804,38.55490502290218);(-122.80420424366184,38.55495936369064) l(r:p10udm20312-p10udm20315);(-122.80404329895403,38.55505822677544);(-122.80404371484804,38.55490502290218) l(r:p10udm20312-p10udm20313);(-122.80404263841,38.55530155056587);(-122.80404290752148,38.55520241865247) l(r:p10udm20313-p10udt5878lv);(-122.80404236929718,38.55540068247758) l(r:p10udm20317-p10ulv20424);(-122.80375422178597,38.555850801432825);(-122.80386906494756,38.55582395779269) l(r:p10udm20316-p10ulv20415);(-122.80375382976486,38.55599499328778);(-122.80388012565943,38.55597718086746) l(r:p10udm20314-p10ulv20385);(-122.80374196072509,38.55613916589948);(-122.80389121090124,38.55612139194659) l(r:p10udm20314-p10udm20316);(-122.80374196072509,38.55613916589948) l(r:p10udm20316-p10udm20317);(-122.80375382976486,38.55599499328778) l(r:p10udm20317-p10udt5878lv);(-122.80384657631889,38.55565269150097);(-122.80375422178597,38.555850801432825) l(r:p10udt5879lv-p10ulv20427);(-122.80469894203948,38.55451860034209);(-122.80465269357305,38.55464469165882) l(r:p10udm20318-p10ulv20375);(-122.80480223286983,38.55451877260856);(-122.80481339284658,38.55463594766024) l(r:p10udm20318-p10ulv20383);(-122.80480223286983,38.55451877260856);(-122.80480259840701,38.55438359270198) l(r:p10udm20318-p10udt5879lv);(-122.80480223286983,38.55451877260856) l(r:p10udm20321-p10ulv20410);(-122.80458417445124,38.55451840882802);(-122.80456161132138,38.554374178613294) l(r:p10udm20319-p10ulv20381);(-122.80448088362277,38.55451823636915);(-122.80449287294519,38.55432900366915) l(r:p10udm20319-p10udm20321);(-122.80448088362277,38.55451823636915) l(r:p10udm20321-p10udt5879lv);(-122.80458417445124,38.55451840882802) l(r:p10udt5880lv-p10ulv20384);(-122.80436611603659,38.55451804464131);(-122.80436567640872,38.55468026052087) l(r:p10udt5885lv-p10ulv20400);(-122.80347769493024,38.55624686696);(-122.80332829706624,38.55631871232519) l(r:p10udt5886lv-p10ulv20507);(-122.82832933212666,38.554672792697495);(-122.82835196428363,38.554808006432054) l(r:p10udm20331-p10ulv20504);(-122.82844410011302,38.554672960934326);(-122.82842142498372,38.554555771225225) l(r:p10udm20331-p10udt5886lv);(-122.82844410011302,38.554672960934326) l(r:p10udm20333-p10ulv20571);(-122.82822604093973,38.55467264118815);(-122.8282257192631,38.554807821265456) l(r:p10udm20330-p10ulv20434);(-122.82811127295518,38.55467247273755);(-122.82814602517536,38.55453734320467) l(r:p10udm20330-p10udm20333);(-122.82811127295518,38.55467247273755) l(r:p10udm20333-p10udt5886lv);(-122.82822604093973,38.55467264118815) l(r:p10udt5887lv-p10ulv20524);(-122.83150834259558,38.55470444727256);(-122.83147361762397,38.55483056580735) l(r:p10udm20335-p10ulv20469);(-122.83172640190212,38.554704760905295);(-122.83169096288096,38.55513728772318) l(r:p10udm20334-p10ulv20435);(-122.83182969315375,38.554704909326404);(-122.8318064035725,38.554849068460165) l(r:p10udm20334-p10udm20335);(-122.83182969315375,38.554704909326404) l(r:p10udm20335-p10udt5887lv);(-122.83162311065122,38.55470461239306);(-122.83172640190212,38.554704760905295) l(r:p10udm20337-p10ulv20506);(-122.83118699204478,38.55470398433666);(-122.83118667591265,38.554839164434064) l(r:p10udm20336-p10ulv20503);(-122.83129066241607,38.55454191711182);(-122.83146277202863,38.5545601890835) l(r:p10udm20336-p10ulv20541);(-122.83129066241607,38.55454191711182);(-122.83112994533849,38.5545597094698) l(r:p10udm20336-p10udm20337);(-122.83129047285462,38.55462302517332);(-122.83129066241607,38.55454191711182) l(r:p10udm20337-p10udt5887lv);(-122.8314050513463,38.554704298567934);(-122.83129028329245,38.55470413323369);(-122.83118699204478,38.55470398433666) l(r:p10udm20342-p10ulv20437);(-122.83117996224222,38.552802434086075);(-122.83132863037268,38.55302794936599) l(r:p10udm20342-p10ulv20451);(-122.83117996224222,38.552802434086075);(-122.830801364383,38.55274781529413) l(r:p10udm20342-p10ulv20455);(-122.83117996224222,38.552802434086075);(-122.8313288409368,38.55293782927278) l(r:p10udm20342-p10ulv20489);(-122.83117996224222,38.552802434086075);(-122.83092695151775,38.55302736996279) l(r:p10udm20342-p10ulv20562);(-122.83117996224222,38.552802434086075);(-122.83090458950207,38.552775000553446) l(r:p10udm20342-p10ulv20603);(-122.83117996224222,38.552802434086075);(-122.83119101727496,38.55298269082261) l(r:p10udm20342-p10udt5889lv);(-122.83117996224222,38.552802434086075) l(r:p10udm20350-p10ulv20564);(-122.83123770290632,38.55264931265346);(-122.83140987113285,38.552640548669004) l(r:p10udm20348-p10ulv20556);(-122.8312378714462,38.552577216574676);(-122.83110013275622,38.552586029982415) l(r:p10udm20348-p10ulv20590);(-122.8312378714462,38.552577216574676);(-122.83141006054669,38.55255944057947) l(r:p10udm20345-p10ulv20466);(-122.83123820852418,38.55243302441442);(-122.83139887874457,38.55243325591092) l(r:p10udm20345-p10ulv20591);(-122.83123820852418,38.55243302441442);(-122.83111196763805,38.55243284236964) l(r:p10udm20346-p10ulv20467);(-122.83121563489715,38.55227077514115);(-122.83138778117483,38.55227102319699) l(r:p10udm20345-p10udm20346);(-122.8312383981295,38.55235191632271);(-122.83121563489715,38.55227077514115) l(r:p10udm20345-p10udm20348);(-122.83123803998551,38.552505120494985);(-122.83123820852418,38.55243302441442) l(r:p10udm20348-p10udm20350);(-122.8312378714462,38.552577216574676) l(r:p10udm20350-p10udt5889lv);(-122.83123770290632,38.55264931265346) l(r:p10udt5890lv-p10ulv20438);(-122.82832718886453,38.55557399315857);(-122.828189958917,38.55536651502716) l(r:p10udt5890lv-p10ulv20471);(-122.82832718886453,38.55557399315857);(-122.82837373944886,38.5553037003446) l(r:p10udt5890lv-p10ulv20542);(-122.82832718886453,38.55557399315857);(-122.82856816183994,38.55559237034531) l(r:p10udm20356-p10ulv20488);(-122.828856559781,38.55497096183103);(-122.82864997654893,38.5549706596496) l(r:p10udm20356-p10udt5890lv);(-122.82885638884832,38.55504305787135);(-122.828856559781,38.55497096183103) l(r:p10udt5891lv-p10ulv20505);(-122.8298022932795,38.553007716284945);(-122.829779637707,38.552881514792894) l(r:p10udm20361-p10ulv20533);(-122.83014616524439,38.5531884564797);(-122.83000844648075,38.55318825659075) l(r:p10udm20360-p10ulv20516);(-122.83014597440624,38.5532695645552);(-122.83031810187332,38.55327882619772) l(r:p10udm20360-p10udm20361);(-122.83014597440624,38.5532695645552) l(r:p10udm20361-p10udt5891lv);(-122.82991705862752,38.55300788307175);(-122.8300318239765,38.553008049746076);(-122.83014656812239,38.55301722831661);(-122.83014637728586,38.5530983363945);(-122.83014616524439,38.5531884564797) l(r:p10udm20362-p10ulv20551);(-122.82968752793244,38.553007549385626);(-122.82967573245551,38.55314271281561) l(r:p10udm20363-p10ulv20608);(-122.82957276258631,38.55300738237381);(-122.82953863090088,38.552881164128706) l(r:p10udm20358-p10ulv20439);(-122.82945799724115,38.55300721524949);(-122.8294921075585,38.553142445523406) l(r:p10udm20358-p10udm20363);(-122.82945799724115,38.55300721524949) l(r:p10udm20362-p10udm20363);(-122.82957276258631,38.55300738237381) l(r:p10udm20362-p10udt5891lv);(-122.82968752793244,38.553007549385626) l(r:p10udm20364-p10ulv20440);(-122.83017400130899,38.55599124038692);(-122.83003629841787,38.555982028514464) l(r:p10udm20364-p10ulv20500);(-122.83017400130899,38.55599124038692);(-122.83033472180594,38.55597344935349) l(r:p10udm20366-p10ulv20457);(-122.83018507548977,38.55616248511154);(-122.830047329833,38.55617129725925) l(r:p10udm20364-p10udm20366);(-122.83017381048298,38.55607234842361);(-122.83018507548977,38.55616248511154) l(r:p10udm20364-p10udt5892lv);(-122.83017442536399,38.555811000301375);(-122.83017421333697,38.55590112034484);(-122.83017400130899,38.55599124038692) l(r:p10udm20369-p10ulv20569);(-122.83017482821275,38.55563977221494);(-122.8300370623328,38.555657596358266) l(r:p10udm20367-p10ulv20481);(-122.83016394496504,38.55538741942926);(-122.8300266252002,38.55521599145411) l(r:p10udm20365-p10ulv20442);(-122.83017501903463,38.55555866417224);(-122.83040457902109,38.55554998491613) l(r:p10udm20365-p10udm20367);(-122.83016375413186,38.555468527474275);(-122.83017501903463,38.55555866417224) l(r:p10udm20367-p10udm20369);(-122.8301635420941,38.55555864752299);(-122.83015233205349,38.55546940763461);(-122.83016394496504,38.55538741942926) l(r:p10udm20369-p10udt5892lv);(-122.83017482821275,38.55563977221494) l(r:p10udt5893lv-p10ulv20597);(-122.8281935623941,38.55385249813013);(-122.82823974782126,38.55373540940432) l(r:p10udm20373-p10ulv20536);(-122.82807879571364,38.55385232965069);(-122.82807849523364,38.55397849773969) l(r:p10udm20372-p10ulv20496);(-122.82796405051127,38.55384314905234);(-122.82796432971287,38.55372599296779) l(r:p10udm20370-p10ulv20441);(-122.82784928384706,38.553842980347966);(-122.82784954174362,38.553734836270635) l(r:p10udm20374-p10ulv20537);(-122.82773451718383,38.55384281153107);(-122.82776864616126,38.55396903027511) l(r:p10udm20370-p10udm20374);(-122.82773451718383,38.55384281153107) l(r:p10udm20370-p10udm20372);(-122.82784928384706,38.553842980347966) l(r:p10udm20372-p10udm20373);(-122.82796405051127,38.55384314905234) l(r:p10udm20373-p10udt5893lv);(-122.82807879571364,38.55385232965069) l(r:p10udm20377-p10ulv20609);(-122.82830832907553,38.55385266649705);(-122.82831950568493,38.55397885141814) l(r:p10udm20371-p10ulv20492);(-122.8284230957579,38.55385283475146);(-122.8284112977699,38.55398799802869) l(r:p10udm20371-p10ulv20546);(-122.8284230957579,38.55385283475146);(-122.8284578041636,38.55373572911844) l(r:p10udm20371-p10udm20377);(-122.8284230957579,38.55385283475146) l(r:p10udm20377-p10udt5893lv);(-122.82830832907553,38.55385266649705) l(r:p10udt5894lv-p10ulv20497);(-122.8294425603417,38.55468343185319);(-122.82941928729822,38.554818578496075) l(r:p10udm20380-p10ulv20482);(-122.82932779233269,38.55468326459514);(-122.82928218351361,38.5545570295787) l(r:p10udm20381-p10ulv20486);(-122.82921302432462,38.55468309722459);(-122.82923629770949,38.55454795062036) l(r:p10udm20378-p10ulv20443);(-122.82909825631751,38.55468292974151);(-122.82910941309628,38.554818126578205) l(r:p10udm20382-p10ulv20491);(-122.82898348831134,38.55468276214594);(-122.82894935687567,38.55455654376579) l(r:p10udm20379-p10ulv20446);(-122.82866215928978,38.55467328027432);(-122.82867395692494,38.55453811698685) l(r:p10udm20379-p10udm20382);(-122.82886872030615,38.55468259443785);(-122.82876545047999,38.55467343139888);(-122.82866215928978,38.55467328027432) l(r:p10udm20378-p10udm20382);(-122.82898348831134,38.55468276214594) l(r:p10udm20378-p10udm20381);(-122.82909825631751,38.55468292974151) l(r:p10udm20387-p10ulv20554);(-122.82955732835165,38.55468359899873);(-122.82951108059316,38.55482772424579) l(r:p10udm20387-p10ulv20611);(-122.82955732835165,38.55468359899873);(-122.829534651411,38.5545664095013) l(r:p10udm20386-p10ulv20530);(-122.82977538757322,38.5546839162653);(-122.82974063832975,38.55481904628534) l(r:p10udm20385-p10ulv20529);(-122.82989015558596,38.55468408308458);(-122.82993638126362,38.55454896968853) l(r:p10udm20384-p10ulv20528);(-122.83000492359963,38.55468424979132);(-122.8299931284312,38.55481941321522) l(r:p10udm20384-p10udm20385);(-122.83000492359963,38.55468424979132) l(r:p10udm20385-p10udm20386);(-122.82989015558596,38.55468408308458) l(r:p10udm20386-p10udm20387);(-122.82966061956144,38.55468374933352);(-122.82977538757322,38.5546839162653) l(r:p10udm20381-p10udm20387);(-122.82932779233269,38.55468326459514);(-122.8294425603417,38.55468343185319);(-122.82955732835165,38.55468359899873) l(r:p10udm20380-p10udm20381);(-122.82921302432462,38.55468309722459) l(r:p10udm20380-p10udt5894lv);(-122.82932777102518,38.55469227660091) l(r:p10udt5895lv-p10ulv20444);(-122.82827786501726,38.55218539454942);(-122.82828897702011,38.55233861553546) l(r:p10udm20390-p10ulv20452);(-122.82836974051804,38.55215849315341);(-122.82830107502836,38.55207728410346) l(r:p10udm20391-p10ulv20501);(-122.82862234965775,38.55210479096235);(-122.82865707827857,38.55197867322292) l(r:p10udm20390-p10udm20391);(-122.82843866316125,38.55213155805195);(-122.82856494630457,38.55211371895945);(-122.82862234965775,38.55210479096235) l(r:p10udm20390-p10udt5895lv);(-122.82836974051804,38.55215849315341) l(r:p10udm20392-p10ulv20532);(-122.82817451304092,38.55221227903002);(-122.82816322969234,38.55213115410409) l(r:p10udm20393-p10ulv20592);(-122.82807116098789,38.55223916341908);(-122.8280593840803,38.55236531468854) l(r:p10udm20394-p10ulv20596);(-122.8279104912273,38.55223892735668);(-122.8279446627217,38.552347122065626) l(r:p10udm20393-p10udm20394);(-122.82799082610738,38.55223904541545);(-122.8279104912273,38.55223892735668) l(r:p10udm20392-p10udm20393);(-122.82807116098789,38.55223916341908) l(r:p10udm20392-p10udt5895lv);(-122.82817451304092,38.55221227903002) l(r:p10udt5896lv-p10ulv20557);(-122.83014737386813,38.55267477197533);(-122.83000961364274,38.55269259610835) l(r:p10udm20396-p10ulv20510);(-122.83015923198865,38.552512572456365);(-122.83031992355909,38.55250379342445) l(r:p10udm20396-p10udt5896lv);(-122.8301590411698,38.552593680541314);(-122.83015923198865,38.552512572456365) l(r:p10udm20398-p10ulv20576);(-122.83014716183112,38.55276489206709);(-122.83029635628456,38.55276510842738) l(r:p10udm20395-p10ulv20445);(-122.83014678016208,38.552927108228744);(-122.83031897036017,38.5529093338419) l(r:p10udm20395-p10udm20398);(-122.83014697099696,38.552846000148485);(-122.83014678016208,38.552927108228744) l(r:p10udm20398-p10udt5896lv);(-122.83014716183112,38.55276489206709) l(r:p10udt5897lv-p10ulv20578);(-122.83046996400115,38.552143529165846);(-122.83051555223246,38.55227877578301) l(r:p10udm20400-p10ulv20498);(-122.83065379776683,38.55205367481482);(-122.8305161446358,38.55202643949676) l(r:p10udm20401-p10ulv20526);(-122.8308716379289,38.55214411012582);(-122.83082543667562,38.55227021194411) l(r:p10udm20400-p10udm20401);(-122.83065358636668,38.55214379491855);(-122.83076835034637,38.55214396086774);(-122.8308716379289,38.55214411012582) l(r:p10udm20400-p10udt5897lv);(-122.83056177518361,38.552143662078194);(-122.83065360750673,38.55213478290824);(-122.83065379776683,38.55205367481482) l(r:p10udm20402-p10ulv20548);(-122.8303781528193,38.55214339618149);(-122.83041230679973,38.552260602190344) l(r:p10udm20399-p10ulv20448);(-122.83021731369621,38.55221525936599);(-122.83014866727349,38.55212503938547) l(r:p10udm20399-p10udm20402);(-122.83027480167839,38.55217028251836);(-122.83021731369621,38.55221525936599) l(r:p10udm20402-p10udt5897lv);(-122.8303781528193,38.55214339618149) l(r:p10udt5898lv-p10ulv20450);(-122.83529614129654,38.55451157265387);(-122.8345516586256,38.553852644115516) l(r:p10udt5898lv-p10ulv20508);(-122.83529614129654,38.55451157265387);(-122.8348255522026,38.55452893372789) l(r:p10udm20407-p10ulv20572);(-122.83530722741628,38.55468281696399);(-122.83484813463345,38.55469118226212) l(r:p10udm20407-p10ulv20599);(-122.83530722741628,38.55468281696399);(-122.83521543355464,38.554673675757485) l(r:p10udm20405-p10ulv20487);(-122.83530683675562,38.554854045123385);(-122.83515757620718,38.554880871163256) l(r:p10udm20405-p10udm20407);(-122.83530702180582,38.55477293704851);(-122.83530683675562,38.554854045123385) l(r:p10udm20407-p10udt5898lv);(-122.83530743302582,38.55459269687809);(-122.83530722741628,38.55468281696399) l(r:p10udt5899lv-p10ulv20570);(-122.82831036527516,38.552996525810755);(-122.82835597141771,38.5531227612333) l(r:p10udt5899lv-p10ulv20573);(-122.82831036527516,38.552996525810755);(-122.82829912452374,38.55289737689211) l(r:p10udm20412-p10ulv20493);(-122.82842513059644,38.55299669406005);(-122.8284018562046,38.55313184053655) l(r:p10udm20411-p10ulv20479);(-122.82865466124191,38.552997030221114);(-122.82865436177755,38.55312319833242) l(r:p10udm20417-p10ulv20582);(-122.82876942656608,38.55299719813289);(-122.82876970445221,38.552880042026416) l(r:p10udm20409-p10ulv20454);(-122.82808085609732,38.55298717696689);(-122.82808111363907,38.55287903287237) l(r:p10udm20416-p10ulv20581);(-122.82796609079323,38.552987008380136);(-122.82796572569652,38.55314021250965) l(r:p10udm20413-p10ulv20552);(-122.8277480367181,38.55298668775537);(-122.82774771416578,38.55312186786832) l(r:p10udm20410-p10ulv20460);(-122.8276332714168,38.552986518842374);(-122.82762205311616,38.55287835785298) l(r:p10udm20410-p10udm20413);(-122.8276332714168,38.552986518842374) l(r:p10udm20420-p10ulv20610);(-122.8278513254901,38.552986839680884);(-122.8278630383998,38.552887724470466) l(r:p10udm20413-p10udm20420);(-122.8278513254901,38.552986839680884) l(r:p10udm20413-p10udm20416);(-122.82785130399958,38.55299585168857);(-122.8277480367181,38.55298668775537) l(r:p10udm20409-p10udm20416);(-122.82796609079323,38.552987008380136) l(r:p10udm20409-p10udm20417);(-122.82865466124191,38.552997030221114);(-122.82853989591871,38.552996862196835);(-122.82842513059644,38.55299669406005);(-122.82831036527516,38.552996525810755);(-122.82819562140237,38.55298734544112);(-122.82808085609732,38.55298717696689) l(r:p10udm20418-p10ulv20583);(-122.82888419189119,38.55299736593219);(-122.82889532666002,38.55314157483471) l(r:p10udm20417-p10udm20418);(-122.82888419189119,38.55299736593219) l(r:p10udm20411-p10udm20417);(-122.82876942656608,38.55299719813289) l(r:p10udm20411-p10udm20412);(-122.82853991732328,38.55298785018883);(-122.82865468263216,38.552988018213064) l(r:p10udm20419-p10ulv20586);(-122.82853989591871,38.552996862196835);(-122.8285286762578,38.552888701291366) l(r:p10udm20412-p10udm20419);(-122.82853993872783,38.55297883818082) l(r:p10udm20412-p10udt5899lv);(-122.82842515201534,38.552987682052105) l(r:p10udt5900lv-p10ulv20495);(-122.8295592646869,38.55386350640709);(-122.82953601341288,38.55398964108633) l(r:p10udm20424-p10ulv20480);(-122.82944449798076,38.55386333926643);(-122.82947865124761,38.55398054551153) l(r:p10udm20423-p10ulv20470);(-122.82921496457134,38.55386300464759);(-122.82923764077607,38.55398019421935) l(r:p10udm20421-p10ulv20456);(-122.82910019786803,38.5538628371694);(-122.8290889985743,38.55374566432431) l(r:p10udm20422-p10ulv20461);(-122.82899690783589,38.55386268634284);(-122.82900808565067,38.553988871200765) l(r:p10udm20427-p10ulv20499);(-122.82888214113441,38.5538625186509);(-122.82888244020859,38.553736350554075) l(r:p10udm20422-p10udm20427);(-122.82888214113441,38.5538625186509) l(r:p10udm20421-p10udm20422);(-122.82899690783589,38.55386268634284) l(r:p10udm20421-p10udm20423);(-122.82910019786803,38.5538628371694) l(r:p10udm20423-p10udm20424);(-122.82932973127556,38.553863172013266);(-122.82921496457134,38.55386300464759) l(r:p10udm20424-p10udt5900lv);(-122.82944449798076,38.55386333926643) l(r:p10udm20430-p10ulv20565);(-122.82978877685274,38.55387285235813);(-122.82980052974689,38.55375571294831) l(r:p10udm20429-p10ulv20525);(-122.82990354357605,38.55387301916133);(-122.82992622090222,38.55399020860211) l(r:p10udm20425-p10ulv20483);(-122.83013409491939,38.553440776059524);(-122.8299963544514,38.55344958816327) l(r:p10udm20425-p10ulv20519);(-122.83013409491939,38.553440776059524);(-122.8303176993984,38.553450054354656) l(r:p10udm20425-p10udm20429);(-122.83001831030032,38.55387318585203);(-122.83013307702556,38.553873352430216);(-122.83013328908856,38.553783232355634);(-122.83013347994446,38.55370212428732);(-122.83013369200569,38.553612004210095);(-122.83013388285998,38.55353089613941);(-122.83013409491939,38.553440776059524) l(r:p10udm20429-p10udm20430);(-122.82990354357605,38.55387301916133) l(r:p10udm20430-p10udt5900lv);(-122.829674031394,38.55386367343525);(-122.82978877685274,38.55387285235813) l(r:p10udt5901lv-p10ulv20475);(-122.83127027874183,38.55344241908805);(-122.8310639107561,38.55335200109016) l(r:p10udt5901lv-p10ulv20545);(-122.83127027874183,38.55344241908805);(-122.83102922785618,38.553460095505166) l(r:p10udt5901lv-p10ulv20553);(-122.83127027874183,38.55344241908805);(-122.83148858667481,38.55333458905038) l(r:p10udm20431-p10ulv20459);(-122.83127008916495,38.553523527164735);(-122.83153405137291,38.553523907301106) l(r:p10udm20431-p10udt5901lv);(-122.83127008916495,38.553523527164735) l(r:p10udt5902lv-p10ulv20462);(-122.83054431212096,38.55469404381234);(-122.83049810864787,38.55482014544137) l(r:p10udm20436-p10ulv20521);(-122.83032627404296,38.55468471597169);(-122.83033739075925,38.55483793670861) l(r:p10udm20436-p10ulv20575);(-122.83032627404296,38.55468471597169);(-122.83031511500437,38.55454951924271) l(r:p10udm20436-p10udt5902lv);(-122.8304410208917,38.55469389425719);(-122.83032627404296,38.55468471597169) l(r:p10udm20438-p10ulv20560);(-122.83076237138523,38.55469435924061);(-122.8307157667397,38.55499168907183) l(r:p10udm20437-p10ulv20534);(-122.83086566261687,38.55469450851224);(-122.83089979746964,38.55482072633854) l(r:p10udm20437-p10udm20438);(-122.83086566261687,38.55469450851224) l(r:p10udm20438-p10udt5902lv);(-122.83064760335098,38.55469419327636);(-122.83076237138523,38.55469435924061) l(r:p10udt5904lv-p10ulv20549);(-122.83079483721271,38.55553252554582);(-122.83100144317498,38.55552381194085) l(r:p10udt5904lv-p10ulv20563);(-122.83079483721271,38.55553252554582);(-122.83085287654457,38.55525323630461) l(r:p10udm20441-p10ulv20464);(-122.83078272647907,38.555802869104994);(-122.83100081019514,38.55579417209195) l(r:p10udm20441-p10udt5904lv);(-122.83079462596235,38.55562264559648);(-122.83079441471105,38.555712765645744);(-122.83078272647907,38.555802869104994) l(r:p10udt5905lv-p10ulv20465);(-122.82787821063117,38.551337675788645);(-122.82786643353946,38.55146382705772) l(r:p10udm20445-p10ulv20589);(-122.82799297331277,38.55133784445548);(-122.82798119642155,38.55146399573656) l(r:p10udm20445-p10udt5905lv);(-122.82799297331277,38.55133784445548) l(r:p10udt5906lv-p10ulv20585);(-122.83127998605303,38.554199444300416);(-122.83146357157473,38.554217732809434) l(r:p10udm20447-p10ulv20550);(-122.83127977541842,38.55428956437391);(-122.83146348741263,38.55425378083924) l(r:p10udm20446-p10ulv20472);(-122.83127958584647,38.55437067243886);(-122.83113038806717,38.55437045732407) l(r:p10udm20446-p10udm20447);(-122.83127958584647,38.55437067243886) l(r:p10udm20447-p10udt5906lv);(-122.83127977541842,38.55428956437391) l(r:p10udm20448-p10ulv20567);(-122.83126888849364,38.554037211624255);(-122.83145247360005,38.554055500150255) l(r:p10udm20448-p10ulv20588);(-122.83126888849364,38.554037211624255);(-122.83113125243021,38.55400096502183) l(r:p10udm20448-p10udt5906lv);(-122.83128017562338,38.55411833623307);(-122.83126888849364,38.554037211624255) l(r:p10udm20452-p10ulv20485);(-122.82829093582545,38.55633996294504);(-122.82819903351785,38.556375876250144) l(r:p10udm20451-p10ulv20473);(-122.8283028201862,38.55616875172395);(-122.82845200024254,38.556177982453825) l(r:p10udm20451-p10udm20452);(-122.82830260581974,38.55625887175311);(-122.8283028201862,38.55616875172395) l(r:p10udm20452-p10udt5907lv);(-122.82829072144283,38.556430082971495);(-122.82829093582545,38.55633996294504) l(r:p10udm20453-p10ulv20606);(-122.82764781142426,38.556510246537925);(-122.82765963278865,38.556366071397925) l(r:p10udm20453-p10udt5907lv);(-122.82817573613676,38.5565200346024);(-122.82807244230733,38.55651988295145);(-122.82796914847867,38.55651973120938);(-122.8278543990517,38.55651055049794);(-122.82775108373185,38.55651941056569);(-122.8276477899056,38.55651925854007) l(r:p10udm20454-p10ulv21618);(-122.82862336413679,38.55651167870072);(-122.82864494908513,38.55708848043982) l(r:p10udm20454-p10udt5907lv);(-122.82840529940681,38.5565113592757);(-122.82850859322583,38.556511510632916);(-122.82862336413679,38.55651167870072) l(r:p10udm20456-p10ulv20502);(-122.83047737720692,38.55387385148975);(-122.83048857877382,38.55399102420464) l(r:p10udm20456-p10ulv20509);(-122.83047737720692,38.55387385148975);(-122.83050058447797,38.55376574063267) l(r:p10udm20458-p10ulv20522);(-122.83012045511896,38.55435998415586);(-122.83029256399534,38.55437825784678) l(r:p10udm20456-p10udm20458);(-122.83036261047884,38.55387368524908);(-122.83024784375172,38.5538735188959);(-122.8301330558192,38.553882364437605);(-122.83013288616803,38.55395446049615);(-122.83013269530974,38.55403556856095);(-122.83013250445069,38.55411667662463);(-122.83013231359084,38.55419778468718);(-122.8301206459932,38.554278876095616);(-122.83012045511896,38.55435998415586) l(r:p10udm20456-p10udt5908lv);(-122.83047737720692,38.55387385148975) l(r:p10udm20459-p10ulv20600);(-122.83069545512897,38.55386515502941);(-122.83070661477899,38.55400035173938) l(r:p10udm20455-p10ulv20476);(-122.83081022184545,38.55386532094377);(-122.83083344973433,38.5537481980115) l(r:p10udm20455-p10udm20459);(-122.83081022184545,38.55386532094377) l(r:p10udm20459-p10udt5908lv);(-122.83069545512897,38.55386515502941) l(r:p10udm20460-p10ulv20494);(-122.82898923124573,38.55225853213589);(-122.82879366199701,38.55245651119) l(r:p10udm20460-p10udt5909lv);(-122.82898923124573,38.55225853213589) l(r:p10udm20461-p10ulv20558);(-122.82885174927999,38.55215919878713);(-122.82890940892766,38.552042126534786) l(r:p10udm20461-p10ulv20593);(-122.82885174927999,38.55215919878713);(-122.82880565134592,38.552240239753544) l(r:p10udm20461-p10udt5909lv);(-122.82885174927999,38.55215919878713) l(r:p10udt5910lv-p10ulv20527);(-122.8291137225443,38.55299770119325);(-122.82910254469179,38.552871516323926) l(r:p10udt5910lv-p10ulv20559);(-122.8291137225443,38.55299770119325);(-122.8291019046604,38.553141876571196) l(r:p10udm20463-p10ulv20514);(-122.82922846655367,38.55300688066334);(-122.82921728849921,38.55288069580469) l(r:p10udm20463-p10udt5910lv);(-122.82922846655367,38.55300688066334) l(r:p10udm20468-p10ulv20535);(-122.83126928872083,38.55386598347612);(-122.8314529154752,38.55386624798502) l(r:p10udm20469-p10ulv20544);(-122.83115452200057,38.55386581801179);(-122.83112030281544,38.55377564827124) l(r:p10udm20468-p10udm20469);(-122.83115452200057,38.55386581801179) l(r:p10udm20468-p10udt5913lv);(-122.83126928872083,38.55386598347612) l(r:p10udt5914lv-p10ulv20566);(-122.82831530466483,38.555745204399905);(-122.82815462709851,38.555744968654594) l(r:p10udt5914lv-p10ulv20579);(-122.82831530466483,38.555745204399905);(-122.8281088265252,38.55569984124038) l(r:p10udm20470-p10ulv20540);(-122.82831511175199,38.55582631243215);(-122.82845283553648,38.55582651432462) l(r:p10udm20470-p10udt5914lv);(-122.82831511175199,38.55582631243215) l(r:p10udm20580-p10ulv20664);(-122.80267679088016,38.55533530452142);(-122.80292893795036,38.55546189770323) l(r:p10udm20580-p10udt5942lv);(-122.80267679088016,38.55533530452142) l(r:p10udm20581-p10ulv20739);(-122.80255012605062,38.5554882955136);(-122.80241272380127,38.55537090726789) l(r:p10udm20581-p10ulv20782);(-122.80255012605062,38.5554882955136);(-122.80276791650763,38.55558779499148) l(r:p10udm20581-p10udt5942lv);(-122.80255012605062,38.5554882955136) l(r:p10udm20618-p10ulv20679);(-122.80098797416618,38.55595427604469);(-122.80101132563506,38.555810123230714) l(r:p10udm20618-p10ulv20713);(-122.80098797416618,38.55595427604469);(-122.80096539293908,38.55581905717996) l(r:p10udm20618-p10udt5949lv);(-122.80098797416618,38.55595427604469) l(r:p10udm20620-p10ulv20759);(-122.80119453506948,38.555963639035205);(-122.80120549084432,38.55615291030074) l(r:p10udm20621-p10ulv20850);(-122.80130928012555,38.55597284586787);(-122.80134410792408,38.555828712464326) l(r:p10udm20620-p10udm20621);(-122.80130928012555,38.55597284586787) l(r:p10udm20620-p10udt5949lv);(-122.80119453506948,38.555963639035205) l(r:p10udm20625-p10ulv20685);(-122.80233152180381,38.555686191325535);(-122.80219416892727,38.555550778845884) l(r:p10udm20626-p10ulv20838);(-122.80241203308475,38.555623243012136);(-122.80254928790202,38.555794703197996) l(r:p10udm20625-p10udm20626);(-122.80241203308475,38.555623243012136) l(r:p10udm20625-p10udt5951lv);(-122.80233152180381,38.555686191325535) l(r:p10udm20628-p10ulv20861);(-122.80217052351955,38.55580307579288);(-122.8023538834662,38.555902517849006) l(r:p10udm20627-p10ulv20845);(-122.80206715673229,38.55582993717017);(-122.80213554916222,38.55600128138722) l(r:p10udm20627-p10udm20628);(-122.80206715673229,38.55582993717017) l(r:p10udm20628-p10udt5951lv);(-122.80217052351955,38.55580307579288) l(r:p10udt5956lv-p10ulv20694);(-122.80282677814415,38.55504717217305);(-122.80263179504126,38.55500178344979) l(r:p10udm20644-p10ulv20793);(-122.80289622977614,38.55483100032227);(-122.80262095889655,38.55476745231058) l(r:p10udm20644-p10udt5956lv);(-122.80284992873464,38.55497511489505);(-122.80288455609741,38.55490307693581);(-122.80289622977614,38.55483100032227) l(r:p10udm20645-p10ulv21640);(-122.80276899995908,38.55519126737757);(-122.80257396720818,38.55516390254124) l(r:p10udm20645-p10udt5956lv);(-122.80279215065406,38.555119210113055);(-122.80276899995908,38.55519126737757) l(r:p10udt5961lv-p10ulv20839);(-122.80297811704344,38.55426338001695);(-122.80326505953573,38.55425485053138) l(r:p10udm20666-p10ulv20719);(-122.80297833841355,38.55418227207869);(-122.80324254824986,38.5540925960762) l(r:p10udm20666-p10udt5961lv);(-122.80297833841355,38.55418227207869) l(r:p10udm20667-p10ulv20737);(-122.80296595157343,38.55451569650253);(-122.80320696347759,38.55451610188351) l(r:p10udm20667-p10udt5961lv);(-122.80297787107557,38.554353499947);(-122.80296617295922,38.55443458856784);(-122.80296595157343,38.55451569650253) l(r:p10udm20672-p10ulv20764);(-122.80296617295922,38.55443458856784);(-122.8026792543544,38.55443410532592) l(r:p10udm20671-p10ulv20733);(-122.80297787107557,38.554353499947);(-122.80270250341765,38.55432600009818) l(r:p10udm20671-p10udm20672);(-122.80296646725527,38.554352485542026) l(r:p10udm20672-p10udt5963lv);(-122.80294277664652,38.55459676580239);(-122.802954753448,38.554513722670585);(-122.8029546962149,38.55443456925166) l(r:p10udm20673-p10ulv20776);(-122.80288455609741,38.55490307693581);(-122.80319423364526,38.554975694205815) l(r:p10udm20670-p10ulv20727);(-122.80282677814415,38.55504717217305);(-122.80315948368205,38.55509279221612) l(r:p10udm20670-p10udm20673);(-122.80286066618378,38.55497829731283);(-122.80282677814415,38.55504717217305) l(r:p10udm20673-p10udt5963lv);(-122.80291940483163,38.55474993103519);(-122.80290742794914,38.55483297415982);(-122.80289594123859,38.55490421390989) l(r:p10udt5966lv-p10ulv20741);(-122.8001383529516,38.556069985065264);(-122.80027595230251,38.55611528005045) l(r:p10udm20678-p10ulv20748);(-122.8001959375289,38.55599798710822);(-122.800023957435,38.55593460924208) l(r:p10udm20678-p10udt5966lv);(-122.8001959375289,38.55599798710822) l(r:p10udm20679-p10ulv20765);(-122.80002318345183,38.55621398089077);(-122.79985127796324,38.55612356680676) l(r:p10udm20679-p10udt5966lv);(-122.80008076825925,38.55614198299278);(-122.80002318345183,38.55621398089077) l(r:p10udt5972lv-p10ulv20823);(-122.8015962791351,38.55594629651444);(-122.80166467055513,38.55611764100048) l(r:p10udm20695-p10ulv20768);(-122.80187187516574,38.55589269106398);(-122.80179188278542,38.55576638721167) l(r:p10udm20695-p10udt5972lv);(-122.80168811976458,38.55593744009301);(-122.80177998511962,38.5559195716096);(-122.80187187516574,38.55589269106398) l(r:p10udm20697-p10ulv21617);(-122.80141254822209,38.555982033120095);(-122.80143498159065,38.5561713238332) l(r:p10udm20697-p10udt5972lv);(-122.8015044137012,38.5559641648534);(-122.80141254822209,38.555982033120095) l(r:p10udt5973lv-p10ulv20809);(-122.80087322918197,38.55594506889727);(-122.80081534690136,38.55612521105934) l(r:p10udt5979lv-p10ulv20866);(-122.82604948606327,38.56251890590134);(-122.82594618365957,38.562518752354336) l(r:p10udt6092lv-p10ulv21119);(-122.79351654153946,38.559924652856324);(-122.79343643040362,38.55984340330612) l(r:p10udm20912-p10ulv21105);(-122.7939526650225,38.55993443330999);(-122.79404484596297,38.559808427174545) l(r:p10udm20912-p10ulv21113);(-122.7939526650225,38.55993443330999);(-122.79388356771162,38.56001541989287) l(r:p10udm20912-p10udt6092lv);(-122.79357408424839,38.559870682189256);(-122.79364310446476,38.55981673172567);(-122.79374629978965,38.5598529616849);(-122.79384949521844,38.559889191553246);(-122.7939526650225,38.55993443330999) l(r:p10udm20913-p10ulv21107);(-122.79382759551919,38.55951965996391);(-122.79394219087659,38.55958294590411) l(r:p10udm20920-p10ulv21133);(-122.7938737116103,38.55944764497003);(-122.79372468395297,38.5593842982959) l(r:p10udm20913-p10udm20920);(-122.7938737116103,38.55944764497003) l(r:p10udm20913-p10udt6092lv);(-122.7935652834123,38.559864897312615);(-122.79363500680144,38.559810344987554);(-122.7936892209308,38.559744716809156);(-122.79373533730455,38.559672701873346);(-122.7937814793358,38.55959167493848);(-122.79382759551919,38.55951965996391) l(r:p10udm20917-p10ulv21118);(-122.79340143006563,38.56004160608224);(-122.79357333674197,38.56013202958021) l(r:p10udm20917-p10ulv21121);(-122.79340143006563,38.56004160608224);(-122.79330976388734,38.55998737212744) l(r:p10udm20915-p10ulv21115);(-122.79334388709111,38.56009557666213);(-122.79317110269119,38.56031156009762) l(r:p10udm20915-p10ulv21117);(-122.79334388709111,38.56009557666213);(-122.7934812061398,38.560240011376834) l(r:p10udm20915-p10ulv21134);(-122.79334388709111,38.56009557666213);(-122.79336599089412,38.56039301247334) l(r:p10udm20915-p10udm20917);(-122.79334388709111,38.56009557666213) l(r:p10udm20917-p10udt6092lv);(-122.79345897295403,38.55998763547332);(-122.79340143006563,38.56004160608224) l(r:p10udt4909-p10udt4923);(-122.81398146417324,38.55983279203442);(-122.81389244441881,38.55985053541869);(-122.8137875659317,38.559850452704175);(-122.81369587793627,38.55985930437939);(-122.81359239996361,38.559868166153954);(-122.81349931140728,38.55986807300991) l(r:p10udt5206-p10udt5241);(-122.81720049084919,38.55774707204025);(-122.81729979864039,38.557821636751655);(-122.81739542222144,38.55781947250439);(-122.81751019514321,38.55781965147221);(-122.81761346800118,38.557828824442254) l(r:p10udt3104-p10udt5095);(-122.82285301506892,38.56478514210838);(-122.82285257259042,38.564965381846946);(-122.82285215223222,38.56513660959343);(-122.82285173187043,38.5653078373349);(-122.82285128938047,38.5654880770573);(-122.82285086901138,38.56565930478845);(-122.82285044863868,38.56583053251456);(-122.82266674722901,38.56584827841121);(-122.82257215750879,38.56583885398581);(-122.82259765422899,38.565938293911394) l(r:p10udt5095-p10udt5171);(-122.8228542982341,38.56426244683502);(-122.82285385576712,38.56444268658975);(-122.8228534354198,38.564613914351575) l(r:p10udt5125-p10udt5171);(-122.82243556215965,38.56370486948714);(-122.8225113894165,38.563676146008454);(-122.82260314876865,38.563703321162805);(-122.82285558136604,38.563739751514845);(-122.8228551610334,38.56391097929729);(-122.8228547185741,38.56409121906289) l(r:p10udt5081-p10udt5125);(-122.82286911683612,38.56290165387537);(-122.82285724053907,38.56306385232447);(-122.8228568202205,38.56323508012677);(-122.82285642202066,38.56339729593483);(-122.82285600169514,38.56356852372735);(-122.82276391040821,38.56367652860335);(-122.82260553212984,38.56371213675156);(-122.82250733838724,38.56368457808564);(-122.82245512841709,38.56372710569323);(-122.82230449284677,38.56379298842059) l(r:p10udt5158-p10udt5163);(-122.82357970177074,38.563335304028755);(-122.82363748908115,38.563173174688956);(-122.82384413973497,38.56315546179069);(-122.82397011354851,38.5632728075871);(-122.82409608777157,38.56339015324655);(-122.82422206240408,38.56350749876905);(-122.82434805938229,38.563615832165006);(-122.8244854472978,38.56376023059219);(-122.82461137940182,38.56389559966991);(-122.82474876837594,38.56403999778365) l(r:p10udt5081-p10udt5163);(-122.82285845723058,38.56256819286841);(-122.8230133299651,38.562488655762095);(-122.82316459258503,38.562589048533916);(-122.82331322331544,38.56268816881748);(-122.82345169161997,38.562806301016124);(-122.8235888470544,38.56291439228143);(-122.82371816633089,38.563038115857346);(-122.82364788107155,38.56317700139818);(-122.8235907552999,38.56333773269907);(-122.82340755122675,38.563326032439875) l(r:p10udt5081-p10udt5151);(-122.82186073444073,38.56221520991949);(-122.82179197774823,38.562170045148676);(-122.82180367826278,38.56207994271451);(-122.82189585790164,38.561935890599074);(-122.82197655924489,38.56179182095235);(-122.82213725022031,38.56179206520905);(-122.82228646327064,38.56179229182133);(-122.8223206086081,38.56190949997684);(-122.82235477623962,38.56201769612923);(-122.82244633387381,38.562125979328215);(-122.82259532607785,38.56221632545793);(-122.82274020569376,38.562299741940826);(-122.82288508564494,38.562383158244025);(-122.82289289138295,38.56256824496918);(-122.82286953712048,38.562730426063176) l(r:p10udt5151-p10udt5209);(-122.82206732247522,38.56129089032742);(-122.82219349874539,38.56143557609555);(-122.82226404009553,38.56157596917267);(-122.82229816310489,38.5617021893303);(-122.82229788202683,38.56179320588535);(-122.82213722800945,38.56180107720039);(-122.82197653701398,38.56180083294363);(-122.82190636459522,38.561939518772945);(-122.8218139345223,38.56208398862615);(-122.82180339655774,38.56217095926101);(-122.82186809956235,38.562208297897996);(-122.82191814664944,38.56220628524635) l(r:p10udt5133-p10udt5245);(-122.82064514220662,38.56178077663533);(-122.82064473905612,38.561942992464274);(-122.82065581387818,38.562105225873694);(-122.82065543314327,38.562258429703824);(-122.82065505240546,38.562411633529955);(-122.82076626281663,38.56249555162988);(-122.82088414317226,38.562601236778704) l(r:p10udt5202-p10udt5223);(-122.81924003898705,38.56161303528457);(-122.8192113400838,38.56147372843451);(-122.81918862002404,38.56130991073013) l(r:p10udt5202-p10udt5230);(-122.81927929187097,38.56176966402393);(-122.81939407108673,38.561769841160014);(-122.81940505286312,38.56196812264463);(-122.81940460181777,38.562148362436226);(-122.81939267275789,38.56232858451448);(-122.81939222167578,38.562508824294824) l(r:p10udt5197-p10udt5252);(-122.81816405545888,38.56234711861054);(-122.81823149734228,38.562430294925136);(-122.81823280357821,38.56256110193114);(-122.81824393630546,38.56268664546365);(-122.81820881203946,38.5627799428215);(-122.8181741507293,38.562870009220525);(-122.81807111127458,38.56295737977545) l(r:p10udt4828-p10udt4846);(-122.81519287551563,38.56187142851768);(-122.81496329370908,38.56188007788149);(-122.81474518978686,38.561888744959305);(-122.8145156310378,38.56188838145746) l(r:p10udt4828-p10udt5203);(-122.81639670601135,38.56240503219637);(-122.81638566365174,38.56223378642808);(-122.81644328284153,38.56214375655072);(-122.81648940100594,38.562062720639034);(-122.81647826680506,38.56192752282243);(-122.81628341704732,38.56181907290339);(-122.8160882463493,38.56183679049647);(-122.81585866475318,38.56184544161683);(-122.81564056103647,38.561854110363406);(-122.81541097933511,38.56186276060549) l(r:p10udt5203-p10udt5215);(-122.81649874784158,38.56290085346614) l(r:p10udt5203-p10udt5216);(-122.81722497232232,38.56167635436172);(-122.81723617714103,38.5617564848657);(-122.81704112021326,38.561757175388095);(-122.81686895144273,38.561756906181344);(-122.81668528186505,38.56176563073704);(-122.81647861056824,38.56179234299613);(-122.81648974474992,38.561927540815795);(-122.8165008550747,38.56206213912299);(-122.81645376224246,38.56214743311149);(-122.81639591962745,38.56223783281359);(-122.81640816937252,38.56240457651349);(-122.81639627037376,38.56257625995706) l(r:p10udt5216-p10udt5235);(-122.8172024273966,38.56151410271276);(-122.81719122265194,38.561433972202416);(-122.81719136042456,38.561351868979536);(-122.81719156587707,38.56127076107291);(-122.81718029350081,38.561189635240886);(-122.81718047613528,38.56111753932198);(-122.81727541837249,38.5610305920588);(-122.81738730486767,38.56102774188451);(-122.81749058229852,38.56103691496919) l(r:p10udt5192-p10udt5214);(-122.81729714790525,38.56036972329729);(-122.81739920234642,38.56036092562051);(-122.81750374646401,38.560370045549604) l(r:p10udt5214-p10udt5240);(-122.81718132081132,38.56078409568542);(-122.81719300402148,38.560703005694876);(-122.81719320946738,38.56062189777922);(-122.81718193718771,38.5605407719386);(-122.81718214264484,38.56045966402074);(-122.81718234810114,38.560378556101746) l(r:p10udt5216-p10udt5240);(-122.81719094951704,38.561514084789444);(-122.81717981246571,38.5614349495954);(-122.8171798825708,38.56135185105518);(-122.81718015571664,38.561271738466004);(-122.81716881567286,38.56118961731551);(-122.81716899831883,38.56111752139665);(-122.8171806815983,38.561036431412134);(-122.81718095978277,38.56095432840298);(-122.8171925931272,38.5608652215228) l(r:p10udt5216-p10udt5252);(-122.81823398391431,38.56209247852492);(-122.81826866746661,38.56199340010599);(-122.81832632949151,38.561885345313264);(-122.81818888937164,38.561767975618345);(-122.81799374199527,38.56177668440057);(-122.81779861732574,38.56177638086816);(-122.81760284146871,38.561767048419895);(-122.81740839079676,38.56176676083861);(-122.81722472125584,38.56177548624076);(-122.81719067396811,38.56167829122947);(-122.81721369984697,38.56159522853831) l(r:p10udt5202-p10udt5252);(-122.81883103453995,38.561786983966414);(-122.81860204921395,38.56178664060957);(-122.81837249074006,38.561786284671626);(-122.81833721124708,38.56188821205263);(-122.81827925377537,38.561996882702935);(-122.81824505147463,38.56209486692941);(-122.81821080095567,38.56218256276758) l(r:p10udt5202-p10udt5245);(-122.820231892046,38.56179816681533);(-122.82002531184231,38.56178883737749);(-122.81981868669271,38.561797531555634);(-122.81960060609487,38.56179719569167);(-122.81939395831971,38.561814901109926);(-122.81927917903226,38.561814723973576);(-122.8190611661739,38.561787351134996) l(r:p10udt5209-p10udt5245);(-122.82207651808375,38.56128549708837);(-122.82220296956993,38.561430484907824);(-122.8222744505536,38.561572173923494);(-122.82230939088494,38.56170031809184);(-122.82230930078332,38.56179411994828);(-122.8221372057986,38.56181008919171);(-122.82197651478305,38.561809844934864);(-122.82175847863851,38.56179148910834);(-122.82152892010939,38.56179113935994);(-122.82131083951039,38.561790806682026);(-122.82108128098902,38.561790456055846);(-122.82086320039744,38.56179012254402);(-122.8206450750151,38.56180781260714);(-122.82043851715048,38.561789471907744) l(r:p10udt5102-p10udt5143);(-122.82544898891231,38.562632528811314);(-122.82523608298416,38.562661764174116);(-122.82517654338493,38.56276994217893);(-122.82515330336138,38.56288706377953);(-122.82523345365631,38.56296829167779);(-122.82521012634747,38.56312146124898) l(r:p10udt5102-p10udt5145);(-122.8246844307324,38.5621744126176);(-122.82484490385279,38.562264773079235);(-122.82500535552231,38.56236414531228);(-122.82510460156027,38.56242059556093);(-122.82520658311523,38.56247410380668);(-122.82529879576771,38.56252860244102);(-122.8254549192599,38.56261187889725);(-122.82555588657257,38.562536195448956) l(r:p10udt5119-p10udt5145);(-122.82506361945136,38.56200375140221);(-122.82528167891964,38.562013089083116);(-122.82587486789014,38.561825321071865);(-122.82586777268325,38.56171656552068);(-122.82587951152169,38.56160843867313) l(r:p10udt5096-p10udt5145);(-122.82472795543953,38.56123800387258);(-122.824862708837,38.56127401950552);(-122.82489261067171,38.56134314206863);(-122.82491548969638,38.561415562553876);(-122.82492704414389,38.56148874397473);(-122.82494962051202,38.561569048789465);(-122.82496110247536,38.56165113396034);(-122.82497238739334,38.56172312444534);(-122.82488508564457,38.56175943112396);(-122.82477850696716,38.56176895721902);(-122.82467393798532,38.56176885577438);(-122.82457188241477,38.56177765978834);(-122.82446733534114,38.5617685461666);(-122.82436403402028,38.561768391225975);(-122.82424923282869,38.5617772309552);(-122.82420307964375,38.5618762939336);(-122.82436352956444,38.561975667048834);(-122.82452397992733,38.562075039943494);(-122.82469153929576,38.56216733694282);(-122.82484556004104,38.56199441331524) l(r:p10udt4741-p10udt5120);(-122.8267603594424,38.560700482259485);(-122.82686421759614,38.560664413106174);(-122.82696861489653,38.56063721711188);(-122.82706413234065,38.5606098597045);(-122.8271628765171,38.56056572212822);(-122.8272662624095,38.560529826612154);(-122.82735769860881,38.56049408807473);(-122.82746256734472,38.560466717641646);(-122.82756492022692,38.560431134603654);(-122.82763855751985,38.560385406174426) l(r:p10udt5120-p10udt5150);(-122.82579782043315,38.56091470866277);(-122.8259386181522,38.56089657649232);(-122.82609380517461,38.5608168862862);(-122.82620404824641,38.56082492975584);(-122.82630608085137,38.56082502606925);(-122.82638482354035,38.560816221424105);(-122.82646518963033,38.56080732855701);(-122.82656553620366,38.56077211531366);(-122.82667356446962,38.560744462494995) l(r:p10udt4746-p10udt5167);(-122.82741905877575,38.56097987659748);(-122.8272237635605,38.56105168474015);(-122.82703994578115,38.561123509513855) l(r:p10udt4746-p10udt4762);(-122.8280374857339,38.56155755636245);(-122.82796889764604,38.56144029924977);(-122.82790028828965,38.56133205408989);(-122.82783170063797,38.56121479689331);(-122.82769416022391,38.561133486291666);(-122.82755659858653,38.561061187523094) l(r:p10udt4748-p10udt4754);(-122.82751994514122,38.564871417211734);(-122.82743927279405,38.56491648219133);(-122.82734066184341,38.56496307552939) l(r:p10udt4744-p10udt4758);(-122.82870713043157,38.56473978248762);(-122.82947824896513,38.563866742164656) l(r:p10udt3462-p10udt3491);(-122.83325575499931,38.566117128524056);(-122.83334875026875,38.56608984727139);(-122.83343955856398,38.5660543060563);(-122.8335365646248,38.56603546857775);(-122.83362847661094,38.565999551163586);(-122.83369747285167,38.56594557708417);(-122.83376646898917,38.56589160296337);(-122.83383546502347,38.56583762880117);(-122.83392735586783,38.565810723140906);(-122.83402823466801,38.56578404475469);(-122.83411858420409,38.5657574959587);(-122.83422347386522,38.56573926130493);(-122.83431382327389,38.56571271235777);(-122.83441871282116,38.56569447752866);(-122.8345090621024,38.565667928430315);(-122.83461395153581,38.56564969342588);(-122.83470831174601,38.56563158805782) l(r:p10udt3491-p10udt4742);(-122.83308215977529,38.566205098468835);(-122.83300228804025,38.56624079665831);(-122.83291617719821,38.56626889723514);(-122.83283572228889,38.56631384246848);(-122.83210326936913,38.565375544154016) l(r:p10udt3491-p10udt3550);(-122.83156848447967,38.56643115703728);(-122.83174041235681,38.56653954851443);(-122.83189367496006,38.566654950138684);(-122.8320541041596,38.56677233665685);(-122.83214608071343,38.566709384449496);(-122.83223803615371,38.5666554441575);(-122.83231851275855,38.5666014873505);(-122.83241048886411,38.56653853493257);(-122.8325024438893,38.56648459443035);(-122.83258292010605,38.56643063743913);(-122.83267485396662,38.56638570878911);(-122.83275528816775,38.56634977565663);(-122.83283004541038,38.566306009737765);(-122.83290952235282,38.56626155435452);(-122.83298525737119,38.56621729848918);(-122.83306569123049,38.566181365141595);(-122.83316895744014,38.56616111352889) l(r:p10udt3550-p10udt3553);(-122.83086925729155,38.56601559598939);(-122.8310340171131,38.566122003338805);(-122.8312131305594,38.56622336876158);(-122.83138937001742,38.566329792159515) l(r:p10udt3551-p10udt3553);(-122.83069694991613,38.56590697254369) l(r:p10udt3551-p10udt3552);(-122.82964856135384,38.5655200723379);(-122.82976776401816,38.5654722547797);(-122.82980835533856,38.565386907213785);(-122.82999092773296,38.565498663731006);(-122.83016287222658,38.565598045542636);(-122.83034198314628,38.565699412301655);(-122.8305181988704,38.565814849003985) l(r:p10udt3552-p10udt4761);(-122.82930317581766,38.56509212196322);(-122.82944072558024,38.56517343064086);(-122.82958975413524,38.56525475586661);(-122.82971155597495,38.56531999207236);(-122.82983220476896,38.56537552299603);(-122.82979417763487,38.56548704774424);(-122.82966821544773,38.56554135186099);(-122.82955440333299,38.56564222127052) l(r:p10udt4737-p10udt4743);(-122.83016465396068,38.564841038392046);(-122.8301785501747,38.5638136880396) l(r:p10udt4743-p10udt4753);(-122.83016465396068,38.564841038392046);(-122.83130221817066,38.564328998020436) l(r:p10udt4743-p10udt4765);(-122.83016465396068,38.564841038392046) l(r:p10udt4761-p10udt4765);(-122.8293097269967,38.56508472190271);(-122.82944762970216,38.56516623108346);(-122.82959630529976,38.56524735579024);(-122.82966277720809,38.56519394758607);(-122.82974262783839,38.56513144958038);(-122.82982375212109,38.565077025441276);(-122.82991170394763,38.565020911556566);(-122.83000368012618,38.56495796101284);(-122.83008415649822,38.564904005725715) l(r:p10udt4758-p10udt4761);(-122.82871423885507,38.564732706571334);(-122.82885613588842,38.56483012063925);(-122.82901659879313,38.5649294873567);(-122.82915414792218,38.56501079637188) l(r:p10udt4751-p10udt4758);(-122.82839329376512,38.56454298407425);(-122.82854666837544,38.5646404153447) l(r:p10udt4738-p10udt5141);(-122.82691936254066,38.55938848111338);(-122.82687360367304,38.5593253292456);(-122.82681482259433,38.55927571093565);(-122.82674606558383,38.559230549051094);(-122.82668878617454,38.559185404118395) l(r:p10udt4738-p10udt4756);(-122.82710625072478,38.559728027437714);(-122.82716370347798,38.5597010761881);(-122.82711385481069,38.55965011734857);(-122.82706809560757,38.559586965558864);(-122.82701111214583,38.559515090283384);(-122.82697509751799,38.55944717648915) l(r:p10udt4740-p10udt4749);(-122.83214601615478,38.56234751062072);(-122.83203217775988,38.562365251499905);(-122.83192787078598,38.56237423370297);(-122.83182454755608,38.56238309733881);(-122.83085771047207,38.56298556515145) l(r:p10udt5013-p10udt5047);(-122.83348656512257,38.562214369435615);(-122.83357981296135,38.56221443244302);(-122.83367165774017,38.56220555094896) l(r:p10udt4749-p10udt5013);(-122.83235016895618,38.56231186530806);(-122.83246509548925,38.56230300741954);(-122.83256829307425,38.56229415343333);(-122.83267161608781,38.56228528914041);(-122.83277618585561,38.56228538344276);(-122.8328909868422,38.56227653535163);(-122.83299430979196,38.56226767077375);(-122.83309763271664,38.562258806104566);(-122.8332113122433,38.5622409887997);(-122.8333014724608,38.56222318762947);(-122.83339612333671,38.562232195214754) l(r:p10udt4749-p10udt4752);(-122.83183408581512,38.56235822758987);(-122.83192413076064,38.562347357617305);(-122.83202745392747,38.56233849389337);(-122.83214030851299,38.56232087149548);(-122.83224809258053,38.562329688008965) l(r:p10udt5020-p10udt5050);(-122.83311154906534,38.56120441886197);(-122.83311169494498,38.56114133487945);(-122.83322375131885,38.56111472756798);(-122.8333273873953,38.56109679663795);(-122.8334189575658,38.5610789573947);(-122.83351358531907,38.561069810905224);(-122.83361694801965,38.561042921776085);(-122.83370881206783,38.56102502824976) l(r:p10udt4745-p10udt5020);(-122.83204421484692,38.5611578322683);(-122.83214751533546,38.561157980429755);(-122.83226227271096,38.56116715694384);(-122.8323655941471,38.56115829291574);(-122.83248037247039,38.56115845721887);(-122.83258367296214,38.56115860499547);(-122.8326984721787,38.561149757087456);(-122.83280177265917,38.56114990467156);(-122.83291655097166,38.56115006854701);(-122.83301987230509,38.56114120394119);(-122.83313471311611,38.56111433161003);(-122.83316893826574,38.56120450066198);(-122.8331343380483,38.56127654756461) l(r:p10udt4745-p10udt5221);(-122.83128549362672,38.561174810037784);(-122.83138993632724,38.56117491578897);(-122.83149321579475,38.561184076524576);(-122.83160689401787,38.56116626077152);(-122.83171133670753,38.56116636623592);(-122.8318261150398,38.56116653118055);(-122.83192941553963,38.5611666795345) l(r:p10udt4752-p10udt5221);(-122.83117181531195,38.561192625478526) l(r:p10udt4752-p10udt4757);(-122.8295554197804,38.560352164647654);(-122.8295552282295,38.56043327262115);(-122.82954353766459,38.56052337587852);(-122.82955482384166,38.560604500561475);(-122.8295546110046,38.56069462052806);(-122.82955441945042,38.56077572849678);(-122.82955420661153,38.5608658484607);(-122.82956547157016,38.560955985134214);(-122.82956528002639,38.56103709309936);(-122.82956506719907,38.561127213059294);(-122.8295648756537,38.56120832102206);(-122.82957614067749,38.56129845768941);(-122.82957594914339,38.561379565649844);(-122.82963318955126,38.56144273315207);(-122.82970190794519,38.56150591732365);(-122.82975914856335,38.56156908476343);(-122.82982786718777,38.56163226886022);(-122.82988510801619,38.561695436237514);(-122.8299883880324,38.561704598301205);(-122.83009166807517,38.56171376027381);(-122.83018347022369,38.56172290550583);(-122.83028675031807,38.56173206730641) l(r:p10udt4750-p10udt4757);(-122.82875290012598,38.55996347623573);(-122.8288687971206,38.55997270246834);(-122.82897095393383,38.559972807034626);(-122.82908685097213,38.55998203305145);(-122.82920048544293,38.559982154173866);(-122.82931521925076,38.56000034557112);(-122.829418518068,38.56000049613691);(-122.8295332732466,38.560009675322966);(-122.82954455933397,38.560090800013825);(-122.82954434648799,38.560180919988284);(-122.82954415492578,38.5602620279641) l(r:p10udt4750-p10udt4756);(-122.82699208119173,38.55980616918024);(-122.82691665424436,38.55985025660312);(-122.82684904788758,38.559885552399656);(-122.82674020510349,38.559914529647116);(-122.82663888526827,38.55994979923211);(-122.82652872848914,38.55995998694263);(-122.82641589945851,38.55998672036903);(-122.82630809793325,38.559986910488156);(-122.82619222295581,38.55996866972505);(-122.82607858852239,38.559968545682594);(-122.82606732806937,38.559878408668865);(-122.82606750181773,38.55980631269885);(-122.82605628748476,38.55972618299089);(-122.82605641516152,38.55964407970966);(-122.8260680882138,38.55956298879351);(-122.8260682619594,38.55949089281958);(-122.82605697987205,38.55940976779462);(-122.82605717534726,38.55932865982183);(-122.8260459150178,38.559238522796456);(-122.82604611050424,38.559157414821335);(-122.82604632771047,38.55906729484766);(-122.82605802239735,38.55897719192672);(-122.82604676211999,38.558887054896125);(-122.82604695760301,38.558805946916124);(-122.82604717480545,38.55871582693702);(-122.82604739200694,38.55862570695652);(-122.82603618659486,38.55853646673163);(-122.82603632728853,38.55845446193481);(-122.8260480218857,38.55836435900519);(-122.82604823908338,38.558274239019255);(-122.82604843456045,38.55819313103074);(-122.82604865175631,38.55810301104216);(-122.82603739162286,38.55801287399753);(-122.82603760883109,38.55792275400623);(-122.82603780431768,38.55784164601286);(-122.82603802152406,38.557751526018905);(-122.82603821700897,38.55767041802317);(-122.82611857963646,38.55766152538111);(-122.82619644280307,38.557737342072464);(-122.82625593811981,38.55781493385879);(-122.82632289534538,38.557882353098215);(-122.8263910364048,38.55795391956968);(-122.82645928211817,38.55801664724275);(-122.82651751137766,38.55808991838251);(-122.82657645795165,38.5581668783369);(-122.82664514890946,38.55823907632372);(-122.82671210689142,38.55830649533742);(-122.82678024873643,38.558378061578956);(-122.82683801208253,38.55845087413248);(-122.82690615418845,38.55852244029958);(-122.82697484581382,38.55859463809013);(-122.82702286523829,38.55867221251478);(-122.82710075203205,38.558739016597876);(-122.82716899910353,38.55880174385197);(-122.82722722971525,38.55887501463202);(-122.8272953726068,38.55894658056919);(-122.82735487009892,38.55902417178605);(-122.82741208514835,38.55909635238897);(-122.82748077782496,38.559168549878);(-122.82754947063891,38.55924074732614);(-122.82760668605417,38.55931292783214);(-122.82767537913121,38.55938512520524);(-122.82774407234554,38.559457322537455);(-122.82780128812668,38.5595295029465);(-122.82787917656503,38.55959630650573);(-122.82794742512263,38.55965903330027);(-122.82800565721445,38.559732303685806);(-122.82807380168579,38.559803869163034);(-122.82814249569654,38.55987606625815);(-122.82820026161271,38.55994887812681);(-122.82830643556919,38.559953854983775);(-122.82842006999147,38.55995397686364);(-122.82852461468788,38.55996319719275);(-122.82863810232763,38.5599723202796) l(r:p10udt4756-p10udt5127);(-122.82662219567011,38.55990691164766);(-122.82671906186049,38.55987269334392);(-122.8268255234986,38.55984487199782);(-122.82688625683305,38.55981206700918);(-122.82697438106838,38.55978299529528);(-122.82705468459055,38.55976271510646) l(r:p10udt5127-p10udt5177);(-122.82550092337729,38.56003123270614);(-122.82560327660168,38.55999565138139);(-122.8257066624468,38.559959757239085);(-122.8258031469335,38.55995912411661);(-122.82589494629475,38.55996827270791);(-122.82598678913696,38.55995939723524);(-122.82607865367407,38.55994150969434);(-122.8261934300246,38.55994168015707);(-122.82631163225538,38.55994198976515);(-122.8264115050933,38.55994200372622);(-122.82652180036824,38.55993350520887) l(r:p10udt4747-p10udt5122);(-122.82633263957531,38.55787759118673);(-122.82640023126541,38.55794852598613);(-122.82646801045685,38.55801079522893);(-122.82652725562289,38.55808515645501);(-122.82658565282567,38.55816148473904);(-122.82665434378755,38.55823368272054);(-122.82672185115312,38.55830173339395);(-122.82678944362223,38.558372667965315);(-122.82684775635552,38.55844611217865);(-122.82691534908331,38.558517046676194);(-122.82698404071279,38.55858924446141);(-122.8270326095291,38.55866745054579) l(r:p10udt5044-p10udt5276);(-122.83115874749191,38.55696596445086);(-122.83114748114724,38.55687582786597);(-122.83115928721556,38.55678393109481);(-122.83115937990672,38.55669560435182);(-122.83115956962948,38.55661449631966);(-122.83115978043168,38.55652437628262);(-122.83127457244474,38.55651552974751);(-122.83137786628515,38.55651567857311);(-122.8314696619913,38.55652482278995);(-122.83157295584607,38.55652497144345);(-122.83166479362359,38.556516091499375);(-122.83167606055996,38.556606228041375);(-122.83168734853851,38.55668735257719);(-122.83168713839378,38.55677747261419);(-122.83169835853407,38.55685760183645);(-122.83169823729189,38.55693970517767);(-122.83170944946595,38.557028944900445);(-122.83170931522659,38.55711094973885);(-122.83170912611818,38.5571920577664);(-122.83179222852797,38.55720145830954);(-122.8318926773813,38.55722836961672) l(r:p10udt5016-p10udt5045);(-122.83312709024413,38.55944709545536);(-122.83313835945134,38.55953723181655);(-122.83313817193248,38.559618339816325);(-122.83313796357731,38.55970845981476);(-122.83314912306142,38.55979680255365);(-122.833149024495,38.55988871616669);(-122.83314881615136,38.55997883616098);(-122.83314860780682,38.560068956153906);(-122.83313692178751,38.56015905978603) l(r:p10udt5026-p10udt5045);(-122.83313856780472,38.55944711181546);(-122.83314983702627,38.55953724817559);(-122.83314964952031,38.55961835617541);(-122.83314944117947,38.55970847617389);(-122.83316054578935,38.559795922103056);(-122.83316050212584,38.55988873252479);(-122.83302274970634,38.55989754815259);(-122.83291945101725,38.55989740076749);(-122.8328161732048,38.559888241291894) l(r:p10udt5045-p10udt5055);(-122.83312867728277,38.55873604404478);(-122.83314000541777,38.5588252837701);(-122.83312831959478,38.55891538742099);(-122.83312811123254,38.55900550743023);(-122.83311642536468,38.55909561107703);(-122.83312771534173,38.55917673544394);(-122.8331275069768,38.559266855449145);(-122.83311582106467,38.559356959091765) l(r:p10udt5046-p10udt5055);(-122.8323939913539,38.55881520604532);(-122.83249726757644,38.55882436589146);(-122.83260056472756,38.55882451364567);(-122.8327038827685,38.55881564930778);(-122.8328071799082,38.55881579687964);(-122.83291047704867,38.558815944360354);(-122.83301377418991,38.558816091749925);(-122.83311711300686,38.55879821504603);(-122.83309430397435,38.5587350983125);(-122.83312894467588,38.55864502738492) l(r:p10udt5046-p10udt5052);(-122.83171837076364,38.55876023421492);(-122.83180039976362,38.55877865331767);(-122.83187919160113,38.558787522356056);(-122.83195784789308,38.55881458080475);(-122.8320726224867,38.55881474549928);(-122.832177186967,38.55881495056494);(-122.83229067327652,38.55882407010963) l(r:p10udt5052-p10udt5064);(-122.83116799020853,38.557921253253255);(-122.83115630207494,38.55801135671596);(-122.8311560912572,38.558101476730045);(-122.8311558804385,38.55819159674273);(-122.83115569070087,38.55827270475296);(-122.8311554798804,38.55836282476301);(-122.8311667464603,38.55845296132474);(-122.83115500679183,38.558535047886004);(-122.83115486849567,38.55862417278427);(-122.83114318022864,38.55871427623454);(-122.83124645614399,38.55872343718361);(-122.83133969913867,38.558723641148724);(-122.83143149764699,38.55873278539842);(-122.83153461753004,38.55874193139659);(-122.8316251277195,38.55876003055131) l(r:p10udt4759-p10udt4767);(-122.83116455401071,38.559390209332754);(-122.8311643642747,38.55947131732636);(-122.831164153456,38.55956143731795);(-122.83116396371838,38.559642545309195);(-122.8311522963766,38.55972363674547);(-122.83115208554094,38.55981375673311);(-122.83115189578805,38.55989486472078);(-122.83107950572014,38.55996421695752);(-122.83097682159202,38.55994841517269);(-122.83091073886385,38.55994858881898);(-122.83083039539082,38.55994847274438);(-122.83075007305219,38.55993934461635) l(r:p10udt4767-p10udt5059);(-122.83117603156109,38.55939022588525);(-122.83117584183798,38.55947133387891);(-122.83117563103363,38.55956145387056);(-122.83117544130891,38.55964256186183);(-122.83116377398001,38.55972365329929);(-122.83116356315867,38.559813773286976);(-122.83116337341868,38.55989488127471);(-122.83116316259556,38.55998500125974);(-122.83126646140074,38.559985150194876);(-122.83138121679669,38.559994327569974);(-122.83148451561637,38.559994476312724);(-122.83159927105565,38.56000365347416);(-122.83167963561117,38.55999475702256);(-122.83176000014707,38.559985860515724);(-122.83184042765669,38.559949927957334);(-122.83191517880817,38.55990616256098);(-122.8320195953541,38.55986985365619);(-122.8321045601279,38.55988722286783) l(r:p10udt4767-p10udt5270);(-122.83082666155245,38.55863348771977);(-122.83092774589515,38.558651095683814);(-122.83104326475768,38.55867846402267);(-122.83114682971626,38.55870573193535);(-122.83115446792898,38.55879540079185);(-122.83115425710304,38.55888552079382);(-122.83115406735888,38.55896662879441);(-122.8311652872385,38.55904675803714);(-122.83116514429562,38.5591378733454);(-122.83116495456198,38.55921898134253);(-122.83115328729154,38.55930007278496) l(r:p10udt4739-p10udt5271);(-122.82902178286864,38.55843176906295);(-122.82890574130505,38.558431654646135);(-122.82879098871679,38.558422474848435);(-122.82867619337475,38.558431318937664);(-122.82856254015498,38.558440119861864);(-122.82844664544827,38.55843098277911) l(r:p10udt5269-p10udt5271);(-122.82973209262585,38.55844187146999);(-122.82962879603764,38.558441721185986);(-122.82951290140075,38.5584324957088);(-122.82940821209276,38.55843227768698);(-122.82929371023411,38.55842311953732);(-122.82921887774368,38.55843193072408);(-122.829123854514,38.558413949152495) l(r:p10udt5269-p10udt5270);(-122.83061087551283,38.55857755003927);(-122.83050867341973,38.55855068028753);(-122.83040544019931,38.55852349468744);(-122.83030220705695,38.55849630899638);(-122.83019897399267,38.55846912321434);(-122.83010938093118,38.55846037407445);(-122.83002886083715,38.55845122418026);(-122.82993726237235,38.558442100104756);(-122.82983541046175,38.55843300966264) l(r:p10udt5064-p10udt5270);(-122.83117946752533,38.55792126980488);(-122.83116777940604,38.55801137326877);(-122.83116756860265,38.55810149328291);(-122.83116735779828,38.558191613295655);(-122.83116716807356,38.55827272130593);(-122.8311669572674,38.55836284131603);(-122.83117822386166,38.558452977876684);(-122.83116641167932,38.55853605954687);(-122.83116634592425,38.55862418933744);(-122.83105400616186,38.55870133881765);(-122.83091859900617,38.558677143821235);(-122.83081695997151,38.55865930385343);(-122.83071876849067,38.558605518929205) l(r:p10udt5064-p10udt5276);(-122.83118130134243,38.55713722561058);(-122.83118109056245,38.557227345638275);(-122.83118090085972,38.55730845366202);(-122.83118069007799,38.55739857368706);(-122.8311804792953,38.55748869371072);(-122.83116879124934,38.55757879718155);(-122.83116860153038,38.557659905200346);(-122.8311683316735,38.55775092186546);(-122.83115670262606,38.55784012868536) l(r:p10udt5274-p10udt5276);(-122.83087289529973,38.55650593811122);(-122.83097743532502,38.556515156244615);(-122.8310794618594,38.5565152483838);(-122.83118279785295,38.55649737337393);(-122.83121695517464,38.55661457906835);(-122.83121676551633,38.556695687100756);(-122.83121655478399,38.55678580713543);(-122.83120468973229,38.5568786005582);(-122.83119317898664,38.55696601410408);(-122.83117003494363,38.55704708903039) l(r:p10udt5051-p10udt5053);(-122.83316638008738,38.55732120874701);(-122.83316625164687,38.55740142013103);(-122.8331660433433,38.5574915401638);(-122.83317731230275,38.557581676550846);(-122.8331656267333,38.55767178022519);(-122.83316541842692,38.557761900253794);(-122.83315367378007,38.55785290057068);(-122.83314204716879,38.55794210759174) l(r:p10udt5053-p10udt5062);(-122.83275513844414,38.55650864220123);(-122.83286990937573,38.556508806105874);(-122.83297320321498,38.556508953523846);(-122.83307649705495,38.55650910085069);(-122.83317979089567,38.55650924808639);(-122.83317956178757,38.55660838013759);(-122.83317935350651,38.556698500182684);(-122.8331791452245,38.55678862022637);(-122.83316748061914,38.55686971190927);(-122.83317874948615,38.556959848305546);(-122.83317854120145,38.55704996834521);(-122.8331783329158,38.55714008838346);(-122.83316664742117,38.55723019206489) l(r:p10udt3224-p10udt3225);(-122.83830945581855,38.554983825355116);(-122.83821770158143,38.55495666248263);(-122.83819911649915,38.5549049057838);(-122.83818774066556,38.55485982987387) l(r:p10udt3222-p10udt3224);(-122.83842599359281,38.55599390814481);(-122.83843773128369,38.55590290725478);(-122.83843787402861,38.555813683823374);(-122.83844953253998,38.555732591587315);(-122.8384612111928,38.555642487340464);(-122.83846141287168,38.5555523672552);(-122.83847309147971,38.555462263004294);(-122.83847327297626,38.55538115492508);(-122.8384734746382,38.55529103483574);(-122.83847373526346,38.55520001809517);(-122.83847385779345,38.555119806662155);(-122.83848559527796,38.55502880575327);(-122.83840525880883,38.55500255551081) l(r:p10udt3222-p10udt5058);(-122.83653106176035,38.5565319996329);(-122.83664583275095,38.55653215983586);(-122.83676172527461,38.5565413782499);(-122.83686385689958,38.556550487924206);(-122.83697862792147,38.55655064780096);(-122.83743783379626,38.556497214143);(-122.83750677751128,38.556461261717885);(-122.83762154839498,38.556461420964034);(-122.83773631927957,38.556461580097675);(-122.8378396130765,38.556461723221744);(-122.83795438396281,38.556461882141605);(-122.83805767776128,38.55646202507329);(-122.83817244864932,38.55646218377936);(-122.83827451527705,38.55644435587334);(-122.83833664501637,38.55639295391921);(-122.83841775299385,38.55633852370726);(-122.83841393152795,38.556255240527314);(-122.83842566927771,38.55616423964269);(-122.83843726889539,38.55608404406255) l(r:p10udt4644-p10udt4707)_s0 l(r:p10udt4644-p10udt4707)_s1 l(r:p10udt4663-p10udt4707)_s0 l(r:p10udt4663-p10udt4707)_s1 l(r:p10udt4678-p10udt4707)_s0 l(r:p10udt4678-p10udt4707)_s1 l(r:p10udt4678-p10udt5048);(-122.8372524121643,38.55729001553351);(-122.83725257472439,38.55721791949007);(-122.83725275760382,38.55713681144016);(-122.83725292016268,38.55706471539484);(-122.83737918949272,38.55705587882969);(-122.83749396132119,38.55705603820298);(-122.83760985479705,38.55706525577866);(-122.83772348471973,38.55706536861795);(-122.8378382565652,38.55706552765375);(-122.8379530284116,38.557065686577026);(-122.83805632307414,38.55706582951177);(-122.83817105451188,38.55708401223348);(-122.83827432900944,38.55709316698205);(-122.83837760353352,38.55710232163955);(-122.83848087808411,38.55711147620599);(-122.8385866419342,38.55712084871659);(-122.83868869458584,38.557129841931946);(-122.83879196921623,38.557138996224076);(-122.83889644571184,38.55715732359607);(-122.83899719092844,38.55718428369125) l(r:p10udt4673-p10udt4705);(-122.83994058570279,38.56134012354306);(-122.84015864518977,38.56134943351311);(-122.84037670473444,38.561358743077065);(-122.8405947842424,38.56135904023439);(-122.84081284387517,38.561368348986115);(-122.84103090356561,38.561377657331775);(-122.8412489633137,38.56138696527133);(-122.84146702311945,38.56139627280483);(-122.84168508298285,38.561405579932234);(-122.84190314290392,38.56141488665357);(-122.84200644381107,38.56141502611615);(-122.84212120288258,38.56142419296882);(-122.84222450380418,38.561424332239014);(-122.84233926291893,38.56143349887798);(-122.84245404173672,38.561433653403256);(-122.84255732301288,38.56144280438108);(-122.84267210184667,38.561442958692574);(-122.84277538316448,38.56145210947807);(-122.84289016201427,38.561452263575795) l(r:p10udt4705-p10udt5057)_s0 l(r:p10udt4705-p10udt5057)_s1 l(r:p10udt5048-p10udt5057)_s0 l(r:p10udt5048-p10udt5057)_s1 l(r:p10udt5048-p10udt5058);(-122.8365310821699,38.55652298762668);(-122.83664585314617,38.55652314782959);(-122.83676288756827,38.55653241256083);(-122.83686387726759,38.556541475917875);(-122.83697864827516,38.556541635794574);(-122.83721917962518,38.55675825932172);(-122.83724188305388,38.55683840398451);(-122.83725317949063,38.55691039337058);(-122.83726449410399,38.55698250537256);(-122.83728721580705,38.557062772641565);(-122.83728718919065,38.557136859301224);(-122.83728700634991,38.557217967351285);(-122.8372868438242,38.557290063394845);(-122.83726370651634,38.557371139536244) l(r:p10udt5056-p10udt5058);(-122.8348439901647,38.556502595649185);(-122.83494728400538,38.55650274132536);(-122.83503908015746,38.55651188274437);(-122.8351308969174,38.55651201208581);(-122.8352112160085,38.55652113720616);(-122.83530303278103,38.55652126641264);(-122.8354178037475,38.556521427819476);(-122.83552107708246,38.5565305849952);(-122.83563584806502,38.5565307461883);(-122.83575063955549,38.556521895262996);(-122.83586541052554,38.55652205623101);(-122.83596870439938,38.55652220100603);(-122.83608347537117,38.55652236176025);(-122.83619936780528,38.55653158073081);(-122.83631299688071,38.55653169493731);(-122.83641627034676,38.556540851323604) l(r:p10udt5813-p10udt5898)_s0 l(r:p10udt5813-p10udt5898)_s1 l(r:p10udt5813-p10udt5898)_s2 l(r:p10udt5802-p10udt5898);(-122.83529375601276,38.55555696557874);(-122.83529396164555,38.55546684550638);(-122.83529414671426,38.55538573744008);(-122.83529435234531,38.55529561736508);(-122.83530603486282,38.55520551343481);(-122.83530621991625,38.555124405364815);(-122.83530642553029,38.55503428528573);(-122.83530663114342,38.554944165205264);(-122.8352953599241,38.554854028977466);(-122.83529554498719,38.55477292090264);(-122.83529575061199,38.554682800818185);(-122.83529595623584,38.55459268073231);(-122.83529614129654,38.55451157265387) l(r:p10udt5056-p10udt5802);(-122.83484401078486,38.55649358364367);(-122.83494730461265,38.556493729319804);(-122.83503910075328,38.55650287073878);(-122.83513091750176,38.5565030000802);(-122.83521285948243,38.55651221806044);(-122.8353030533439,38.55651225440696);(-122.83530323840934,38.55643114635514);(-122.83529194640462,38.55635002215541);(-122.8352921520455,38.55625990209531);(-122.83529235768546,38.55616978203381);(-122.8352925633245,38.556079661970934);(-122.83529274839884,38.55599855391313);(-122.83529295403613,38.55590843384761);(-122.8352931596725,38.55581831378069);(-122.83529334474446,38.55573720571926);(-122.83530502733667,38.555647101796055) l(r:p10udt5056-p10udt5063);(-122.83395911188649,38.55650138868828);(-122.83406354783703,38.556501492039054);(-122.83417831876491,38.556501654660956);(-122.83429306900473,38.55651082917559);(-122.83439636285432,38.55651097533789);(-122.83451115446091,38.5565021256282);(-122.8346144482992,38.55650227159804);(-122.83472919859697,38.556511445685324) l(r:p10udt5062-p10udt5063);(-122.83275515932513,38.556499630196676);(-122.83286993024237,38.55649979410127);(-122.8329732240687,38.55649994151921);(-122.83307651789579,38.55650008884599);(-122.83317981172362,38.55650023608165);(-122.83329456183073,38.55650941157472);(-122.83339785567304,38.55650955861802);(-122.83351260582344,38.55651873389744);(-122.83362739754759,38.5565098850546);(-122.83373069139235,38.55651003180424);(-122.83384544158697,38.55651920675752) l(r:p10udt5783-p10udt5814);(-122.83287141175015,38.55585994173935);(-122.83277959583015,38.55585981062766);(-122.83266484682278,38.555850634631284);(-122.83256153302256,38.555859498948806);(-122.83244680496317,38.55584131073334) l(r:p10udt5062-p10udt5783);(-122.83275518020608,38.55649061819212);(-122.83286995110902,38.55649078209666);(-122.8328700763086,38.556436710068716);(-122.83287026410737,38.556355602025846);(-122.83287045190542,38.556274493981824);(-122.83285916265962,38.5561933695515);(-122.83287090739998,38.55610236923852);(-122.83287103616107,38.55602215783773);(-122.83288270095892,38.55594106617304) l(r:p10udt5062-p10udt5792);(-122.83181517174242,38.55601163369221);(-122.83181498276036,38.55609274173547);(-122.83181477277947,38.55618286178223);(-122.83181458379585,38.55626396982313);(-122.83181437381313,38.55635408986723);(-122.83180270774702,38.556435181416866);(-122.83180251874813,38.556516289454194);(-122.83190581259242,38.55651643781399);(-122.83202058353142,38.556516602551305);(-122.8321238983371,38.55650773871445);(-122.83222719217086,38.55650788679065);(-122.83233048600539,38.55650803477572);(-122.83244525693353,38.55650819909666);(-122.83254855076963,38.556508346889316);(-122.83265182371264,38.55651750659533) l(r:p10udt5274-p10udt5792);(-122.83087291641571,38.55649692610754);(-122.83097872373165,38.55650620119061);(-122.83107948294958,38.55650623638005);(-122.8311828189302,38.556488361370114);(-122.83127459351059,38.55650651774365);(-122.83137788733808,38.55650666656923);(-122.8314696830328,38.55651581078603);(-122.83157297687465,38.55651595943949);(-122.8316633909203,38.556507147040215);(-122.83173365618568,38.556516190497035);(-122.83180253974804,38.556507277450116);(-122.83179123066614,38.55643516492687);(-122.83177994260922,38.55635404039738);(-122.8317801526349,38.55626392035343);(-122.83178034165722,38.556182812312684);(-122.83178055168113,38.556092692266084);(-122.83178074070183,38.556011584222965);(-122.83180390472234,38.555921497154245) l(r:p10udt5274-p10udt5904);(-122.83075831455687,38.55642466421567);(-122.8307585258586,38.55633454417756);(-122.83077021420932,38.5562444407292);(-122.83078188140338,38.556163349282386);(-122.83078209267374,38.556073229240134);(-122.83078230394312,38.55598310919647);(-122.83078251521157,38.555892989151424);(-122.83077124949936,38.55580285251522);(-122.83078299680582,38.5557118524115);(-122.83078314901127,38.55562262900795);(-122.83079483721271,38.55553252554582) l(r:p10udt5272-p10udt5274);(-122.82973668453826,38.5564952791131);(-122.82985145543074,38.55649544597688);(-122.82996622632419,38.556495612728135);(-122.8300695201291,38.55649576270806);(-122.83018429102435,38.556495929245536);(-122.83028756364183,38.55650509103647);(-122.83037938037084,38.55650522410447);(-122.83047117593436,38.55651436910393);(-122.83057449092205,38.55650550663488);(-122.83066630765298,38.55650563947783);(-122.8307695803471,38.55651480084371) l(r:p10udt5272-p10udt5892);(-122.82973670579607,38.556486267109946);(-122.82985147667425,38.55648643397366);(-122.82996624755334,38.55648660072486);(-122.83006954134538,38.556486750704735);(-122.83018431222628,38.55648691724216);(-122.83018448184144,38.55641482121471);(-122.83018467265778,38.55633371318272);(-122.83018488467503,38.55624359314589);(-122.83017359845321,38.55616246846305);(-122.83016238830913,38.556073228584594);(-122.83016252429965,38.555991223737415);(-122.83016273634196,38.55590110369538);(-122.83016294838333,38.55581098365197);(-122.8301746161875,38.555729892261056) l(r:p10udt5272-p10udt5907);(-122.82840532083087,38.55650234727319);(-122.828508614637,38.556502498630365);(-122.82862338553363,38.556502666698115);(-122.82873813504871,38.55651184665601);(-122.82884142887023,38.55651199771957);(-122.82895619978396,38.55651216546107);(-122.82907097069862,38.55651233309007);(-122.8291857629409,38.55650348860367);(-122.8292890780666,38.5564946272693);(-122.82940384895538,38.55649479457189);(-122.82951861984512,38.556494961761956);(-122.82962189237453,38.55650412413992) l(r:p10udt5890-p10udt5914);(-122.82831551901148,38.55565508436277);(-122.82832718886453,38.55557399315857) l(r:p10udt5907-p10udt5914);(-122.82827924436488,38.55643006613719);(-122.82827945876181,38.55633994611079);(-122.82829120133312,38.55625785981376);(-122.82829134314977,38.55616873489094);(-122.82830301311519,38.55608764369652);(-122.82830322747984,38.55599752366473);(-122.8283148974035,38.55591643246666);(-122.82830363477001,38.55582629560046);(-122.82831530466483,38.555745204399905) l(r:p10udt5690-p10udt5695);(-122.82566491377007,38.5559394972192);(-122.82556035322949,38.55593939658611);(-122.82544560504017,38.555930213515595);(-122.82534231206971,38.555930059457765);(-122.8252389972811,38.55593891731069);(-122.8251357042993,38.555938763070536);(-122.8250324113183,38.55593860873925) l(r:p10udt5710-p10udt5716);(-122.82533129055614,38.55521803712738);(-122.82522928770128,38.555208927979955);(-122.82512599576413,38.55520877373368);(-122.8250226819824,38.555217631399174);(-122.82491939003394,38.55521747697057);(-122.82480462120326,38.555217305276365);(-122.82471772571301,38.55517043638755);(-122.82469090586423,38.555121349641844);(-122.82466724884328,38.55507290704954) l(r:p10udt5697-p10udt5716);(-122.8261927816362,38.55544467530245);(-122.826076892262,38.55543544643127);(-122.82600917263953,38.5554353905307);(-122.82592723308044,38.555426166112305);(-122.8258469164049,38.55541703462628);(-122.82578315440912,38.555369330591944);(-122.82571516848995,38.555324719989024);(-122.8256580485458,38.55528870077792);(-122.82559646083364,38.55524552368568);(-122.82553914173654,38.55521840217511);(-122.82543584978414,38.55521824820219) l(r:p10udt5723-p10udt5735);(-122.82676772649752,38.55546350681999);(-122.82677788830533,38.55553566286357);(-122.82677769366646,38.55561677089217);(-122.82676602206615,38.55569786193725) l(r:p10udt5697-p10udt5735);(-122.82642236338017,38.55542699185187);(-122.82653825278628,38.55543622038115);(-122.82665185844475,38.555445355964125);(-122.82676893305474,38.5554366066743);(-122.82688027636493,38.55543672665259) l(r:p10udt5695-p10udt5697);(-122.8258702974073,38.55591282190255);(-122.82597359035601,38.55591297549447);(-122.8260768833055,38.55591312899526);(-122.82607705701966,38.55584103297625);(-122.82607725244738,38.55575992495379);(-122.82607744787428,38.55567881693021);(-122.8260776433004,38.5555977089055);(-122.82607786043961,38.55550758887672);(-122.82605510203,38.5554264467468);(-122.82619513051081,38.55541773213367);(-122.82630757252203,38.55543583363349) l(r:p10udt5100-p10udt5695);(-122.82607531382436,38.55658813248716);(-122.82607545013991,38.55650792111813);(-122.82608714436358,38.556417818153726);(-122.82607586272219,38.55633669308897);(-122.82607605815471,38.5562555850734);(-122.82607625358644,38.55617447705672);(-122.82607647073186,38.556084357036845);(-122.82606518915317,38.55600323196658);(-122.82605390759949,38.55592210689415);(-122.82597352517433,38.55594001150123);(-122.82587023218696,38.555939857909166);(-122.82576698270638,38.55592168022166) l(r:p10udt5100-p10udt5707);(-122.82606389584213,38.5565872187926);(-122.8260639730509,38.55650790406662);(-122.82598365515459,38.55649877267323);(-122.82588038310683,38.5564896070869);(-122.82577582203442,38.556489396404814);(-122.82567255002716,38.55648023063524);(-122.82558200065138,38.5564801508839);(-122.82547875047203,38.55646197294223) l(r:p10udt5193-p10udt5211);(-122.8220971837001,38.56008435596083);(-122.82199421821275,38.55994901902433);(-122.82188006662528,38.5598140272986);(-122.82178831064276,38.55969645549399);(-122.82170656596973,38.55957445147519) l(r:p10udt5193-p10udt5227);(-122.82208733026204,38.56008897763639);(-122.82198436478662,38.559953640691376);(-122.82187050433677,38.55981901172269);(-122.82202175177565,38.559738375219744);(-122.82215907652899,38.559666773628784);(-122.82223448998732,38.55959327837327);(-122.82233787691918,38.55955738720621);(-122.82244126374849,38.55952149594738);(-122.8225400092454,38.55947736226542);(-122.8226433958601,38.559441470827075);(-122.82274678237223,38.559405579296964);(-122.82285481004028,38.55937792999436) l(r:p10udt5193-p10udt5253);(-122.82279350577974,38.560972966107094);(-122.82275924944872,38.560900818035186);(-122.82264484808803,38.560747440319474);(-122.82254188063062,38.56061210387531);(-122.822417873502,38.560481734396966);(-122.82231461571737,38.56034603498353);(-122.82221000302177,38.560215071119636) l(r:p10udt5219-p10udt5225);(-122.82326205255487,38.56010913259696);(-122.82309556467794,38.559650997805036);(-122.82304957475881,38.55952241701012) l(r:p10udt5219-p10udt5253);(-122.8228856377901,38.560846937163156);(-122.8229891588207,38.56075697347241);(-122.82287475719735,38.560603595976964);(-122.82277181164275,38.56045924773745);(-122.82286414210171,38.560252110851955);(-122.82305943945991,38.560180309977596) l(r:p10udt5184-p10udt5246);(-122.8196792768243,38.558729453768024);(-122.81954303891835,38.558622233815896);(-122.81942503172652,38.558570619235326);(-122.81924139312194,38.55857033583184);(-122.81905777711276,38.558561040145506);(-122.81906898342697,38.558669201821395);(-122.81909166721965,38.55877738123134);(-122.81910289620438,38.55887653090585);(-122.8191141252194,38.55897568057768);(-122.81912526392566,38.559110878222896);(-122.81913640267261,38.55924607586404);(-122.81912454120692,38.559399262023476) l(r:p10udt5705-p10udt5905);(-122.82708636973886,38.55132749691145);(-122.82720113239954,38.55132766635445);(-122.82731589506118,38.55132783568498);(-122.82743065772375,38.55132800490299);(-122.82754539885988,38.55133718601862);(-122.82766016153872,38.551337355011704);(-122.82776344795049,38.551337507009286);(-122.82787821063117,38.551337675788645) l(r:p10udt5705-p10udt5720);(-122.82548049864047,38.55099166855035);(-122.82559414049449,38.55098287058035);(-122.82571004463183,38.550982998459965);(-122.82582478499455,38.55099218126064);(-122.82593954711453,38.55099235193908);(-122.82605430923547,38.55099252250504);(-122.8260541138153,38.55107363059361);(-122.82606537292023,38.55116376774123);(-122.8260651775113,38.55124487582749);(-122.82606498210157,38.55132598391262);(-122.82617974475362,38.55132615435684);(-122.82629450740663,38.551326324688574);(-122.8264104118842,38.55132654132588);(-122.82652403271558,38.55132666501455);(-122.82663879537152,38.55132683500879);(-122.82674208176269,38.55132698790743);(-122.82685684442045,38.55132715768792);(-122.82697158548012,38.55133633936575) l(r:p10udt5900-p10udt5908);(-122.82967405265765,38.55385466142806);(-122.82978993996802,38.553863886736075);(-122.82990356481106,38.55386400715404);(-122.830018331521,38.55386417384469);(-122.83013311943823,38.55385532841542);(-122.83024786494373,38.55386450688845);(-122.83036263165653,38.55386467324158);(-122.83047739837028,38.553864839482195);(-122.83059214393592,38.553874017617915) l(r:p10udt5893-p10udt5900);(-122.82830835050964,38.553843654490485);(-122.82842311717768,38.55384382274484);(-122.8285378624412,38.553853002893376);(-122.82865262912547,38.55385317092278);(-122.82876739581071,38.55385333883967);(-122.82888330436212,38.553853553038095);(-122.82899692918409,38.55385367433596);(-122.82910021920331,38.55385382516248);(-122.82921498589228,38.5538539926406);(-122.8293297525822,38.553854160006225);(-122.82944451927307,38.553854327259344);(-122.8295592646869,38.55386350640709) l(r:p10udt5698-p10udt5893);(-122.82728733687578,38.553670923951884);(-122.82728714282227,38.55375203200855);(-122.82729840387228,38.55384216900084);(-122.82739023874862,38.55383329239921);(-122.82750500539463,38.553833461553566);(-122.82761975052154,38.55384264260167);(-122.8277345386896,38.553833799524746);(-122.8278493053385,38.553833968341586);(-122.82796407198838,38.553834137045925);(-122.82807995904093,38.55384336404608);(-122.8281935623941,38.55385249813013) l(r:p10udt5698-p10udt5740);(-122.82730122817672,38.55266159608222);(-122.82730103414583,38.55274270415297);(-122.82730086167325,38.55281480021492);(-122.8273006676409,38.55289590828355);(-122.82730047360775,38.55297701635103);(-122.82728878147176,38.55306711949455);(-122.82728858742408,38.553148227559625);(-122.8272883127132,38.55323924427479);(-122.82728817776528,38.55331945569327);(-122.82728796215397,38.55340957576161);(-122.82728774654173,38.55349969582854);(-122.82727607586553,38.553580786956005) l(r:p10udt5896-p10udt5897);(-122.83014763723534,38.552592668783454);(-122.83014775553241,38.55251255580665);(-122.8301594440087,38.55242245236066);(-122.83015963482593,38.552341344273316);(-122.8302065130098,38.552212212624795);(-122.83026670161922,38.5521638983);(-122.83037454375197,38.552134841379804);(-122.83046996400115,38.552143529165846) l(r:p10udt5891-p10udt5896);(-122.82991707986018,38.55299887106313);(-122.83003184519481,38.55299903773739);(-122.83014773117908,38.55300826268955);(-122.83013530363993,38.55292709157767);(-122.83013549448772,38.552845983497456);(-122.83013568533477,38.55276487541612);(-122.83014737386813,38.55267477197533) l(r:p10udt5891-p10udt5910);(-122.82922962972418,38.55299791504564);(-122.82934323189693,38.55300704801266);(-122.82945801853111,38.55299820324107);(-122.82957278386196,38.55299837036534);(-122.82968754919374,38.552998537377114);(-122.8298022932795,38.553007716284945) l(r:p10udt5899-p10udt5910);(-122.82842517343424,38.55297867004413);(-122.82853996013237,38.55296982617279);(-122.82865470402238,38.55297900620501);(-122.82876944794201,38.5529881861248);(-122.82888421325279,38.55298835392403);(-122.82899895721727,38.55299753361897);(-122.8291137225443,38.55299770119325) l(r:p10udt5740-p10udt5899);(-122.82731270465494,38.55266161301101);(-122.82731251063696,38.55274272108182);(-122.82731233817586,38.55281481714381);(-122.82731214415638,38.552895925212475);(-122.82731195013614,38.552977033280015);(-122.82740376236346,38.55297716867139);(-122.82751850611645,38.55298634981687);(-122.82763329293455,38.55297750683478);(-122.82774805822153,38.55297767574773);(-122.82785136847112,38.55296881566549);(-122.82796611226942,38.552977996372384);(-122.82808087755919,38.55297816495907);(-122.82819564284992,38.552978333433266);(-122.8283115285595,38.55298756020243) l(r:p10udt5701-p10udt5740);(-122.82744867619296,38.552183916596285);(-122.82740306512385,38.55225525303252);(-122.8273574122007,38.552335553740555);(-122.8273361661081,38.55238375594713);(-122.82731277454195,38.55244779995444);(-122.82730147628433,38.55250966626577);(-122.82728994574151,38.55258047108046) l(r:p10udt5895-p10udt5909);(-122.82836573094686,38.55215004903871);(-122.82843354991766,38.552123489944606);(-122.828562914401,38.55210484930836);(-122.82867971022398,38.55211388695595);(-122.82879441002794,38.55214109086834);(-122.82885603134409,38.55215083757723);(-122.82892047953732,38.55221337148649) l(r:p10udt5701-p10udt5895);(-122.82758308523974,38.552122240536235);(-122.82768670581608,38.55216763597072);(-122.82773843107348,38.55220262615273);(-122.82779577010753,38.55222073458801);(-122.82785313066015,38.552229830986384);(-122.82791276300186,38.55223009366329);(-122.8279908475799,38.552230033406545);(-122.8280711824504,38.552230151410136);(-122.82817090423156,38.5522037241611);(-122.82827786501726,38.55218539454942) l(r:p10udt5701-p10udt5718);(-122.82639614679472,38.55201139057687);(-122.82649943416395,38.55201154369186);(-122.82660272153396,38.55201169671572);(-122.82671748527933,38.552011866635354);(-122.82682077265099,38.552012019466844);(-122.82692403841789,38.552021184215945);(-122.82702734739667,38.552012324856456);(-122.82714211114559,38.55201249435985);(-122.82723676875064,38.55201277326645);(-122.82734214453845,38.55203124282339);(-122.82741323767974,38.55204986745986);(-122.82749074914427,38.55208583359389) l(r:p10udt5718-p10udt5720);(-122.82548052042539,38.550982656540704);(-122.82559302023171,38.550973901594425);(-122.8257100663881,38.55097398645019);(-122.82582480673649,38.550983169250834);(-122.82593956884214,38.550983339929225);(-122.82605433094876,38.55098351049511);(-122.82606559004033,38.551073647644074);(-122.82607679436549,38.55116288797753);(-122.82607665376355,38.55124489287693);(-122.82607645836673,38.551326000962106);(-122.82606476497874,38.55141610400588);(-122.82606454785493,38.55150622409775);(-122.82606435244269,38.55158733217927);(-122.82607561163806,38.551677469318165);(-122.82607541623705,38.55175857739735);(-122.82608667547214,38.55184871453265);(-122.82608648008235,38.551929822609495);(-122.82609773935717,38.552019959741195);(-122.82617811738315,38.5520020550265);(-122.82629285942629,38.55201123737078) l(r:p10udt5720-p10udt5727);(-122.82436965106875,38.55109244511318);(-122.8243558677658,38.55099073510721);(-122.82447059205248,38.55099015875949);(-122.82458535416093,38.55099033076536);(-122.82468864005939,38.550990485474465);(-122.82480338030025,38.55099966927589);(-122.82491814242587,38.550999840955576);(-122.82503292639329,38.55099100051338);(-122.82514768850652,38.550991171968015);(-122.8252509744093,38.55099132618104);(-122.82536571472512,38.55100050943151) l(r:p10udt5719-p10udt5739);(-122.8246624214167,38.55161705344935);(-122.82460662073055,38.55168428982124);(-122.82455878421378,38.551761090844806);(-122.82449150697536,38.551828309961216) l(r:p10udt5727-p10udt5739);(-122.8243607828427,38.55108672504366);(-122.82434442937567,38.55099146635527);(-122.82447061396347,38.550981146750324);(-122.8245853760576,38.55098131875615);(-122.82468866194316,38.5509814734652);(-122.82480454398686,38.5509907037003);(-122.82491816428099,38.55099082894623);(-122.82503294823408,38.55098198850394);(-122.82504427156816,38.5510450897202);(-122.82502079644061,38.55111933671329);(-122.82498562625184,38.55118373271143);(-122.8249272507383,38.55125696812046);(-122.82488170548451,38.551328250271034);(-122.82481389430991,38.551396214844615);(-122.82476781421391,38.55146824221364);(-122.82471025772736,38.55154025238105) l(r:p10udt5689-p10udt5727);(-122.82417747199018,38.55129721616411);(-122.82427499195468,38.55119714229588) l(r:p10udt5689-p10udt5696);(-122.82219295153948,38.55187926056511);(-122.82225478260173,38.55184486239874);(-122.82235410089324,38.55183436732836);(-122.82244595597183,38.551816482590596);(-122.82253914929909,38.551807412364845);(-122.82263240579233,38.55179847205338);(-122.82272426075711,38.551780587096864);(-122.82281607144036,38.55178072608242);(-122.82289642789686,38.55177183562855);(-122.82298683703196,38.55176302991142);(-122.82308004924342,38.55177211325756) l(r:p10udt5870-p10udt5871);(-122.83383715931026,38.55513134153027);(-122.83394045118347,38.555131488090424);(-122.83404370161922,38.555149658573946);(-122.83414701422589,38.555140792944655);(-122.83416969303573,38.55522995022385);(-122.83416955391056,38.555321065603856);(-122.83415789066065,38.55540215740885) l(r:p10udt5870-p10udt5872);(-122.8329075116246,38.5551390303945);(-122.83351560693359,38.55512187432765);(-122.83361911946368,38.55512201981914);(-122.83372236980398,38.55514019058586) l(r:p10udt5869-p10udt5872);(-122.83247141128017,38.555129395110384);(-122.83258618001712,38.55512955928827);(-122.83268947188115,38.55512970695216);(-122.8328042406199,38.55512987091627);(-122.83291903021902,38.55512102276112);(-122.83291880076419,38.555220154834586) l(r:p10udt5869-p10udt5887);(-122.83162313167219,38.55469560038625);(-122.83172642291017,38.554695748898446);(-122.83182971414892,38.554695897319505);(-122.83235662161445,38.555138242826466) l(r:p10udt5887-p10udt5902);(-122.8306476244937,38.55468518126999);(-122.83076239251363,38.55468534723419);(-122.83086568373237,38.55468549650577);(-122.83096893274664,38.55470366969924);(-122.83108370079788,38.55470383534851);(-122.83118701312017,38.55469497233007);(-122.83129030435494,38.55469512122705);(-122.83140507239446,38.55469528656124);(-122.83150834259558,38.55470444727256) l(r:p10udt5889-p10udt5901);(-122.83116855554523,38.55280342669288);(-122.8312030838237,38.552730371105426) l(r:p10udt5901-p10udt5913);(-122.83126968894457,38.55369475532294);(-122.83126987852307,38.55361364724863);(-122.83125861254733,38.55352351062358);(-122.83127027874183,38.55344241908805) l(r:p10udt5906-p10udt5913);(-122.83126869891119,38.55411831969267);(-122.83125747966253,38.55403819039372);(-122.83126909913994,38.55394709154691);(-122.83125781204873,38.55386596693475);(-122.83126947830092,38.55378487540418) l(r:p10udt5902-p10udt5906);(-122.83064764563642,38.55467616926361);(-122.83076241364203,38.554676335227754);(-122.83086570484787,38.55467648449928);(-122.83097022112113,38.55469471464246);(-122.83108372188615,38.55469482334196);(-122.83118703419555,38.55468596032344);(-122.83128237243096,38.55461664102709);(-122.83127918563652,38.5545419005723);(-122.83129087303898,38.55445179704217);(-122.83126817696278,38.554371651209195);(-122.83126829867902,38.554289547833406);(-122.83127998605303,38.554199444300416) l(r:p10udt5894-p10udt5902);(-122.8295573496305,38.55467458699286);(-122.82966064082738,38.55467473732761);(-122.82977540882483,38.55467490425932);(-122.82989017682323,38.55467507107854);(-122.83000494482258,38.55467523778523);(-122.83011969161424,38.55468441638557);(-122.83022298282822,38.554684566224196);(-122.83032629522579,38.55467570396546);(-122.83044218393962,38.55468492862976);(-122.83054431212096,38.55469404381234) l(r:p10udt5886-p10udt5894);(-122.82844412153085,38.55466394892894);(-122.82854739130158,38.55467311225126);(-122.82866218068038,38.554664268268844);(-122.82876547185768,38.55466441939335);(-122.82887000894073,38.554673639404754);(-122.82898350966182,38.554673750140324);(-122.82909827765366,38.55467391773586);(-122.82921304564644,38.55467408521888);(-122.82932783494766,38.55466524058359);(-122.82944258163485,38.55467441984737) l(r:p10udt5746-p10udt5886);(-122.82768665293302,38.55466283648709);(-122.82778994410297,38.554662988472295);(-122.82790471207055,38.554663157237854);(-122.82800798176989,38.55467232103584);(-122.82811129441454,38.55466346073235);(-122.82822606238477,38.55466362918288);(-122.82832933212666,38.554672792697495) l(r:p10udt5693-p10udt5746);(-122.82725057780205,38.55464416975743);(-122.827353847389,38.5546533341322);(-122.82746861533859,38.55465350332524);(-122.82757186344061,38.554671679512715) l(r:p10udt5691-p10udt5693);(-122.8264930661367,38.55466107360261);(-122.82660783409335,38.55466124363953);(-122.82671110362098,38.55467040858112);(-122.82681441641775,38.554661549422455);(-122.8269291843771,38.554661719144335);(-122.82703122960336,38.55465291304042);(-122.82714724350254,38.554662041305974) l(r:p10udt5691-p10udt5754);(-122.82608194247624,38.553813332076565);(-122.82608176877955,38.553885428120644);(-122.82607002409276,38.55396751422441);(-122.82606992297559,38.554038615161325);(-122.8260697492655,38.55411071120257);(-122.82605809883546,38.554182790191724);(-122.82605790339724,38.554263898235995);(-122.82605770795827,38.554345006279156);(-122.8260575125185,38.554426114321195);(-122.82606879384883,38.5545072394135);(-122.82606859842039,38.55458834745332);(-122.82606840299115,38.55466945549201);(-122.82617171586327,38.55466059690071);(-122.82628648381719,38.55466076725264);(-122.82638975330228,38.5546699324776) l(r:p10udt5694-p10udt5712);(-122.8260608131736,38.55305628946008);(-122.82606103031408,38.552966169392135);(-122.8260612257397,38.55288506132981);(-122.82607289766464,38.55280397031675);(-122.82606163830233,38.552713833194545);(-122.8260618337255,38.5526327251287);(-122.82606205086144,38.55254260505424);(-122.82607374444063,38.55245250202856) l(r:p10udt5712-p10udt5734);(-122.82604933663339,38.55305627240841);(-122.8260495537882,38.552966152340545);(-122.82604974922673,38.55288504427826);(-122.82606142116454,38.55280395326638);(-122.82605016181654,38.55271381614309);(-122.8258786450179,38.55273156970158);(-122.8256943690028,38.55272229899716);(-122.82551074521366,38.552722025462835);(-122.8253156449404,38.55272173451704);(-122.8251319993266,38.55273047239551);(-122.8251432357019,38.55282962161076);(-122.82513149723873,38.55293774854776) l(r:p10udt5712-p10udt5754);(-122.82605940173669,38.55364206986767);(-122.82605959716886,38.55356096181475);(-122.82605979260023,38.55347985376069);(-122.8260600097453,38.553389733699305);(-122.82606020517503,38.55330862564287);(-122.82606042231826,38.55321850557884);(-122.82607209429943,38.5531374145706) l(r:p10udt5709-p10udt5754);(-122.82573764266299,38.5538128200911);(-122.82584093260604,38.55381297379307);(-122.82595569921037,38.55381314446613);(-122.82607050924261,38.55379529101556);(-122.82607066123659,38.55373220697611) l(r:p10udt5709-p10udt5738);(-122.82484129924384,38.55382053921145);(-122.82495720793297,38.55382066784708);(-122.82506047604336,38.55382983424267);(-122.8251752426697,38.55383000568089);(-122.82529003110795,38.55382116500143);(-122.82540479772193,38.55382133621459);(-122.82550810945904,38.55381247820494);(-122.82562285429117,38.55382166120962) l(r:p10udt5699-p10udt5738);(-122.82373963124193,38.55353469148902);(-122.82380776564685,38.553606259501514);(-122.82386552218887,38.55367907356502);(-122.82393365685462,38.55375064150309);(-122.82400927405374,38.55377491516634);(-122.82410161054007,38.553811324844986);(-122.8242928804693,38.55382874644387);(-122.82439482962981,38.553828837682396);(-122.82450959625051,38.553829009773146);(-122.82462436287216,38.55382918175139);(-122.82472763095134,38.55383834844051) l(r:p10udt5699-p10udt5726);(-122.8232263287162,38.552961923466476);(-122.82330420584563,38.55302873011775);(-122.82336196141897,38.55310154443457);(-122.82343009504146,38.553173112670315);(-122.82348785085696,38.55324592692379);(-122.82355598474027,38.553317495085125);(-122.82361374079795,38.55339030927528);(-122.82367268045195,38.55346727073722) l(r:p10udt5717-p10udt5726);(-122.82280115915216,38.55246985422606);(-122.82286884726909,38.552531952347564);(-122.82292706847448,38.55260522532273);(-122.82297453027849,38.552682169784504);(-122.8230432124275,38.55275436993156);(-122.82310041821191,38.55282655269711);(-122.82316910062396,38.55289875276916) l(r:p10udt5717-p10udt5752);(-122.82281090288127,38.552465092602084);(-122.82287757523295,38.55252610059505);(-122.82293681221364,38.552600463688414);(-122.82274495644803,38.55269984650087) l(r:p10udt5696-p10udt5717);(-122.82218982008584,38.55187059051651);(-122.82224967007046,38.551836794022606);(-122.82233195565607,38.55192842071892);(-122.82238879014851,38.551991129467304);(-122.82243664307725,38.55206853651794);(-122.82251407420762,38.552125873253196);(-122.82257229472634,38.55219914640823);(-122.8226291296402,38.55226185503804);(-122.82268672672497,38.55233450037412);(-122.82273421022443,38.55240243292975) l(r:p10udt5826-p10udt5830);(-122.8199588238192,38.551632174309574);(-122.81986561253811,38.551622949295904);(-122.81985569444218,38.5515689313839);(-122.8198558068797,38.55152387135374);(-122.81982149046023,38.55147875834194);(-122.81977732168136,38.551438266810315);(-122.8197205985096,38.55137569667738);(-122.81966300517642,38.55130304987922);(-122.8196155478081,38.551226104067545) l(r:p10udt5714-p10udt5847);(-122.81853121827719,38.54992993697573);(-122.81860091323328,38.54987536961752);(-122.8186779813534,38.54983680126403);(-122.81871256782665,38.549773770522684);(-122.81873565560963,38.54971973401127);(-122.81867843401423,38.54965656109958);(-122.81860971389229,38.5496023823883);(-122.81855249249993,38.549539209414284);(-122.81847216066494,38.54953908488635);(-122.81839182883049,38.54953896030329);(-122.81830002102025,38.54953881785515);(-122.81819689608506,38.54947557345649);(-122.81832329045274,38.54941268535544);(-122.81846120586646,38.54933179089448);(-122.81861059690209,38.549250914049665);(-122.81871399341938,38.54920601397856);(-122.81881741242348,38.5491521018063);(-122.8189665089343,38.54918838062374);(-122.81900077855084,38.5492515179182);(-122.81903502563898,38.54932366721117);(-122.81906929537924,38.54938680448443);(-122.81911501856361,38.549458971487155);(-122.81917224038594,38.54952214418813);(-122.8192294623083,38.54958531686072);(-122.819298160325,38.54964850721817);(-122.81935538245749,38.549711679828334);(-122.8194355792575,38.5497658758024);(-122.8195157987065,38.54981105971278);(-122.81959599573744,38.5498652555766);(-122.81967621539742,38.5499104393768);(-122.81975641265933,38.54996463513037);(-122.81983663253033,38.55000981882041);(-122.81991683002316,38.55006401446373);(-122.81999705010517,38.55010919804358) l(r:p10udt5847-p10udt5867);(-122.81811529294265,38.5499801211311);(-122.81820714669716,38.549962239710176);(-122.81827600295821,38.54996234660863);(-122.81834485921966,38.54996245346659);(-122.81841560182302,38.54996268582833);(-122.81848250377067,38.54998970308433) l(r:p10udt5838-p10udt5867);(-122.81750556725206,38.5500693478415);(-122.8176076747358,38.550051642357424);(-122.81771347205391,38.55004258048561);(-122.81781680203936,38.55002471723921);(-122.81790863319434,38.550015848060355);(-122.81801196309388,38.549997984641415) l(r:p10udt5838-p10udt5848);(-122.81703426942371,38.55013176404189);(-122.81710501280682,38.55013175195986);(-122.81720585301659,38.550114099966876);(-122.81730918322826,38.550096237169264);(-122.81740350458531,38.55008715766947) l(r:p10udt5832-p10udt5848);(-122.81730833088783,38.550916061933464);(-122.81720631233165,38.55091595781213);(-122.8171030265821,38.55091579650224);(-122.81699974083335,38.55091563510123);(-122.81689521074817,38.55090640450164);(-122.81679319220767,38.55090630002035);(-122.81678212768624,38.550744065960124);(-122.81678251648376,38.550590861860655);(-122.81677142916021,38.55043763979703);(-122.8167603603427,38.5502849469627);(-122.81674925465036,38.55013119565495);(-122.81686688471379,38.55012250685637);(-122.81696854430429,38.55014060585213) l(r:p10udt5839-p10udt5853);(-122.81882555242963,38.55093654360921);(-122.81892769640646,38.55093665690852);(-122.81904245839526,38.550936834347574);(-122.81914576676168,38.550927981939914);(-122.8192627898018,38.55093726414737);(-122.81928603724946,38.55087507771949);(-122.81922985837552,38.550820508016585);(-122.81918277128628,38.55075303640764) l(r:p10udt5839-p10udt5858);(-122.81795278765507,38.55070984397966);(-122.81794112968618,38.550781922184576);(-122.81794094787874,38.55085401823417);(-122.81795224226721,38.550926132128595);(-122.81804407455296,38.55091726284766);(-122.81815881380648,38.550926453158944);(-122.81827359845867,38.55091761934545);(-122.81837812874124,38.55092684871481);(-122.81849162351557,38.55092696960787);(-122.81859490928909,38.55092712969276);(-122.81870964863054,38.55093631946439) l(r:p10udt5832-p10udt5858);(-122.8175161923643,38.550907429189245);(-122.81761947810426,38.55090759013461);(-122.81772276384505,38.55090775098885);(-122.81783864485254,38.55091698811656);(-122.81794081152276,38.5509080902708);(-122.81792947169369,38.550854000387396);(-122.81792965351256,38.550781904337825);(-122.81791835916864,38.55070979043957);(-122.81794149329905,38.5506377300827) l(r:p10udt5832-p10udt5852);(-122.81673379807793,38.55169926663897);(-122.8167226878184,38.551555056604116);(-122.81674607110997,38.551392376582704);(-122.81676941641851,38.5512306962887);(-122.81676986805869,38.55105899455602);(-122.81677030057055,38.550896252358186);(-122.81689654651097,38.550879425586885);(-122.81700234375073,38.550888713285985);(-122.81710309507389,38.55088876048528);(-122.81720638078475,38.55088892179502);(-122.81730966649647,38.550889083013644);(-122.81741288383324,38.55091628015856) l(r:p10udt5827-p10udt5859);(-122.81775120910015,38.553277961675235);(-122.81785449823303,38.55327812242154);(-122.81796926393721,38.55327830092166);(-122.81807257578332,38.55326944947313);(-122.81818622209843,38.55326065870826);(-122.81830212985072,38.55326079393063);(-122.81840417411873,38.553251995342094);(-122.81852018464257,38.55326113215082) l(r:p10udt5844-p10udt5859);(-122.81775123185179,38.55326894967292);(-122.81785325345767,38.553269163567144);(-122.81791210840015,38.55318809166108);(-122.81792385771591,38.55307996547908);(-122.81792417200438,38.55297107310121);(-122.81810163476382,38.55287447851981);(-122.81811986709286,38.552719788622426) l(r:p10udt5766-p10udt5859);(-122.81721331565078,38.554034544435126);(-122.81736505250926,38.55396346212357);(-122.81752590825872,38.55389161665815);(-122.81741852636772,38.55375028587241);(-122.81730453416853,38.553606475194506);(-122.81719990014943,38.55344833085867);(-122.8170854995372,38.553303959574535);(-122.81720028809794,38.55329512682659);(-122.81730357725219,38.55329528805902);(-122.81741834298013,38.55329546709929);(-122.81753313148796,38.55328663402486);(-122.81763642063198,38.553286794963604) l(r:p10udt5834-p10udt5861);(-122.81868257686978,38.55257646885289);(-122.8186064895335,38.55252920199417);(-122.81853870104322,38.552493624016556);(-122.81846897606536,38.55244788049863);(-122.8184109405693,38.55240217953402);(-122.81835459323442,38.55236670871139);(-122.81829570322596,38.55231127723688);(-122.81824976620432,38.552266006143974);(-122.81820142798718,38.5522332651971);(-122.81815559047018,38.55220615789078);(-122.81809825388444,38.552188044739886);(-122.81802944093326,38.55216991372342);(-122.8179606280168,38.55215178266655) l(r:p10udt5834-p10udt5842);(-122.81964637393322,38.55271441264691);(-122.81964641937473,38.552650053950146);(-122.81963521267456,38.55258822690756);(-122.81954202159655,38.55258675368408);(-122.81942852420704,38.552586633802946);(-122.81932523605452,38.55258647444775);(-122.81922194790282,38.55258631500143);(-122.81910718329078,38.55258613773195);(-122.81900265051073,38.55257690901007);(-122.81890062959921,38.55257680635947);(-122.81878588762619,38.55256761677113) l(r:p10udt5837-p10udt5842);(-122.81963500961156,38.552715669634125);(-122.8196349429031,38.55265003626985);(-122.81962384837256,38.552589483893776);(-122.81974988763275,38.552578116987576);(-122.8198635326614,38.55256932459031);(-122.81996796285435,38.552569440501756);(-122.82007125098615,38.55256959928987);(-122.82017453911874,38.55256975798683);(-122.82028930371152,38.55256993420993);(-122.82039256942451,38.55257910471925) l(r:p10udt5833-p10udt5842);(-122.81980521461206,38.553424437034565);(-122.81979388129302,38.55334420798124);(-122.81979410625925,38.553254087948275);(-122.81979437643734,38.55317397523479);(-122.81979451119594,38.55309187188548);(-122.81976086589816,38.553013561603805);(-122.81973780195642,38.55293152287657);(-122.81970388838756,38.552851257177785);(-122.81966905715383,38.55277625736529) l(r:p10udt5841-p10udt5849);(-122.82096034058038,38.553301582045485);(-122.82103837742487,38.553257675241404);(-122.82112547751022,38.55322008155978);(-122.82121133797487,38.55316751032956);(-122.82128434983204,38.55324258393581);(-122.82133183260356,38.55331051704794) l(r:p10udt5843-p10udt5849);(-122.82095609903993,38.553293208091546);(-122.82103172498508,38.553250331675);(-122.82111882506499,38.55321273799824);(-122.82120527434056,38.5531598588761);(-122.82116019743762,38.55310297810526);(-122.82109151684587,38.55303077686155);(-122.82102456900051,38.552963354596635);(-122.82095643796546,38.552891784964594);(-122.82090842889129,38.55281420799976) l(r:p10udt5849-p10udt5857);(-122.82049395282,38.55334528348647);(-122.82060869625269,38.55335447140304);(-122.82072348447632,38.55334563519987);(-122.82077863858716,38.55333688261567);(-122.82084974925384,38.55333681644667);(-122.8209071545196,38.553327892239) l(r:p10udt5833-p10udt5857);(-122.81979379721187,38.553423522729375);(-122.81978240471089,38.55334419031512);(-122.81993162275843,38.553335407883495);(-122.82004638856687,38.55333558434765);(-122.82016115437628,38.5533357606993);(-122.82026442116766,38.55334493132307);(-122.82037916456973,38.55335411946453) l(r:p10udt5833-p10udt5840);(-122.81966595042962,38.55401991379017);(-122.81976924063507,38.55402007284974);(-122.81978091981394,38.55393898249725);(-122.81978112230247,38.553857874475995);(-122.81978140648388,38.55376685781095);(-122.81978154977558,38.55368664642738);(-122.81979331057701,38.55359564742594);(-122.8198049304637,38.553515453705074) l(r:p10udt5831-p10udt5835);(-122.82154423015218,38.553969293549926);(-122.82163502895314,38.55393376139542);(-122.82172600251505,38.55388925819567);(-122.82180681723872,38.55385315063387);(-122.82187907148668,38.553918931348434);(-122.82193580046395,38.553972558643395);(-122.82199354934176,38.55403626194903);(-122.82201811250485,38.55411144799034);(-122.82201827202466,38.55418573026771) l(r:p10udt5835-p10udt5850);(-122.82052667976068,38.55403024850454);(-122.82062996998576,38.55403040680473);(-122.82074471452498,38.55403959458959);(-122.8208480271303,38.55403074069476);(-122.82095131735792,38.55403089871145);(-122.82106608427846,38.55403107417865);(-122.82117061943451,38.55404030106157);(-122.82127264242531,38.55404040173901);(-122.82136447827651,38.55403152986687) l(r:p10udt5840-p10udt5850);(-122.81966597294281,38.55401090178804);(-122.81976926313536,38.55401106084756);(-122.81988398504495,38.55402926147791);(-122.81998727526499,38.554029420345145);(-122.82010206463586,38.554020584755094);(-122.82020535484473,38.55402074342988);(-122.8203098898728,38.55402997108105);(-122.82042336711773,38.55403910211568) l(r:p10udt5840-p10udt5860);(-122.8191035700754,38.55402805820233);(-122.81921833697977,38.55402823548089);(-122.81932162719457,38.5540283949354);(-122.81943641664269,38.55401955999821);(-122.81955116100812,38.5540287489525) l(r:p10udt5851-p10udt5860);(-122.81842649069611,38.55400898596576);(-122.81854125756585,38.554009163907985);(-122.81865600179734,38.554018353739345);(-122.81875929199477,38.55401851368996);(-122.81887405888178,38.554018691305984);(-122.81898880317205,38.55402788081126) l(r:p10udt5761-p10udt5851);(-122.81742960988508,38.55472954609024);(-122.817380925355,38.554549693960354);(-122.81739244905823,38.55445797945561);(-122.81739265423415,38.55437687145229);(-122.81739283661207,38.55430477544839);(-122.81749640062301,38.55419679250632);(-122.81758794107948,38.5543050796078);(-122.81778325028577,38.554224275434876);(-122.81785226978874,38.55416129858593);(-122.81794417438822,38.55412539339525);(-122.81803610161322,38.55408047613076);(-122.81809353052262,38.554062541304916);(-122.81816243609599,38.55404462427708);(-122.81824119504786,38.554026813082324);(-122.81831170114512,38.554017819912474) l(r:p10udt5736-p10udt5755);(-122.82211880876618,38.555303375526385);(-122.82222210082196,38.555303532426215);(-122.82233798947685,38.55531276511184);(-122.8224515943937,38.55532190476923);(-122.82256638552828,38.55531306676905);(-122.82266967760044,38.55531322327402);(-122.82278444657047,38.555313397061546);(-122.82288773864433,38.55531355337413);(-122.82298095508462,38.55532277598816);(-122.82308280172182,38.555331872386134) l(r:p10udt5730-p10udt5755);(-122.8215564631992,38.55529350769408);(-122.82167123213091,38.55529368257285);(-122.82177452417034,38.55529383986756);(-122.82188929310395,38.55529401453256);(-122.82200403981625,38.55530320108634) l(r:p10udt5711-p10udt5730);(-122.82043172772106,38.55529178792797);(-122.82054537694276,38.55528299488307);(-122.82066240744831,38.55529218625248);(-122.8207760344899,38.55529231553461);(-122.82087932652229,38.55529247361913);(-122.82099407309974,38.555301661162524);(-122.82110886437496,38.5552928245918);(-122.82122363330281,38.55529299990938);(-122.82132692533872,38.55529315759899);(-122.8214416496834,38.55531135670482) l(r:p10udt5711-p10udt5753);(-122.82043175013966,38.55528277592743);(-122.82054425724282,38.55527402585678);(-122.82055774950629,38.555382101506574);(-122.82055754787936,38.55546320951013);(-122.82054586931926,38.55554429992043);(-122.82055712221987,38.55563443751392);(-122.8205569205904,38.555715545513955);(-122.82055671896013,38.555796653512864);(-122.82057953850175,38.555850760692735);(-122.82061383506709,38.55590488545446) l(r:p10udt5702-p10udt5711);(-122.81976380628547,38.55528183895039);(-122.81986936001806,38.55529092399282);(-122.81998412893509,38.555291100525515);(-122.8200988978531,38.55529127694569);(-122.82021366677215,38.55529145325336);(-122.82031693636718,38.55530062383455) l(r:p10udt5702-p10udt5854);(-122.81881402642665,38.55488116428251);(-122.81864228362954,38.554910524052815);(-122.81860771726218,38.55496454271167);(-122.81865346613554,38.55502769783324);(-122.81869921508877,38.555090852936424);(-122.81867612554477,38.5551448893867);(-122.81856124360836,38.55518977157127);(-122.81865276427814,38.555307069828366);(-122.81874428524488,38.55542436801227);(-122.81882471410755,38.55538844437116);(-122.81891661979118,38.55535253842727);(-122.81899702589065,38.55532562666667);(-122.81908888623647,38.55530774458642);(-122.8192036551753,38.555307921884314);(-122.81930696978016,38.555299069356245);(-122.8194217387066,38.555299246440306);(-122.81953653016438,38.55529041141176);(-122.81965127656245,38.55529960027088) l(r:p10udt5137-p10udt5229);(-122.82354705401599,38.55849112757901);(-122.82371284586434,38.558415889470616);(-122.8238787964496,38.55836111564185);(-122.82404879373694,38.55832549815357);(-122.82421797760014,38.55825454129753);(-122.82433835234886,38.558172553466314) l(r:p10udt5228-p10udt5229);(-122.82391023217309,38.558969509758505);(-122.82386318480354,38.559024600118555);(-122.82384223465412,38.55909322079946);(-122.82399339112374,38.559100365022346);(-122.82413042929986,38.55910952355808);(-122.82423847466119,38.55905686679554);(-122.82461848104519,38.55891286283496);(-122.82473126562232,38.558842007445584);(-122.82476148277107,38.55880402974494) l(r:p10udt5186-p10udt5229);(-122.82256476596783,38.558666385899166);(-122.82272532544945,38.558603650878176);(-122.82289792103072,38.55854072195513);(-122.82355436705906,38.55846890587164);(-122.8238087441812,38.55884765986169) l(r:p10udt5186-p10udt5196);(-122.82140849014698,38.558731102054594);(-122.82152464076412,38.558880248029745);(-122.82168550337236,38.55880839692593);(-122.82184123044485,38.55873748920985);(-122.82201330130137,38.55866576352347);(-122.82207609220663,38.558664798733524);(-122.82226558880875,38.55875657672673);(-122.82239728282948,38.55873738268985) l(r:p10udt5182-p10udt5196);(-122.82098982899036,38.55793857550959);(-122.8208580185807,38.558038188654535);(-122.82095248903968,38.55818544804816);(-122.82105380389085,38.55829803617213);(-122.82117938170809,38.55844203814991);(-122.82128432811298,38.55859149646349) l(r:p10udt5182-p10udt5217);(-122.82099669749823,38.55794579563681);(-122.82086646402774,38.558044291228875);(-122.82096264304465,38.55818124695915);(-122.8210635903984,38.55829332794915);(-122.82119337949099,38.558239888408586);(-122.82133126398882,38.55817701471488);(-122.82142281523456,38.5582852987701);(-122.82151436675463,38.558393582752416);(-122.82160591854905,38.55850186666176);(-122.82180116790774,38.55844809184927);(-122.82188155415231,38.5584301901239);(-122.82196834924288,38.55838621342416);(-122.82209995779552,38.558295341765216) l(r:p10udt5182-p10udt5218);(-122.82100356600746,38.557953015763616);(-122.82087490947625,38.55805039380259);(-122.82079122186623,38.55820593915614);(-122.8206211572005,38.558229910406936);(-122.82039000907075,38.558220634544426);(-122.8201604394615,38.55822929413577);(-122.81992575292841,38.558362435189665);(-122.82006566459565,38.55849410219082);(-122.82020303421336,38.55863850572621);(-122.82033120896212,38.55878830220361) l(r:p10udt5182-p10udt5244);(-122.82022018320694,38.557283122633535);(-122.82032316401202,38.557409449177676);(-122.82042614517705,38.557535775629376);(-122.82052912670206,38.55766210198862);(-122.82063060748688,38.557783954735946);(-122.82074444331185,38.55790953061415);(-122.82097893321428,38.55787913044592);(-122.82106804451496,38.55787020364821) l(r:p10udt5194-p10udt5250);(-122.82207758837606,38.557190601811634);(-122.82212424264694,38.55726397679885);(-122.82218092003055,38.557335413093305);(-122.8222377586305,38.557398121866854);(-122.82229535939895,38.55747076734049);(-122.82234134468833,38.557534226504366);(-122.82238857748315,38.55761086564617);(-122.8224457864417,38.55768304867923) l(r:p10udt5194-p10udt5692);(-122.82194405317101,38.557065232808625);(-122.8219202898537,38.557018707118715);(-122.82189744670329,38.55697361220637);(-122.82189678973657,38.55690717999424);(-122.82199172074885,38.55682022881633);(-122.82208064627648,38.556817339233056);(-122.82218402930268,38.55678144817545);(-122.82227082222332,38.556737471233845);(-122.82237467689505,38.556701406030754);(-122.8224671922451,38.556674307335875);(-122.82257458401513,38.55664686024074) l(r:p10udt5194-p10udt5204);(-122.82077302936484,38.55699060356388);(-122.82083049757233,38.556883799664995);(-122.820939679585,38.55684341248845);(-122.82105493947822,38.55680740843123);(-122.82116254243486,38.55678890422135);(-122.82126592587365,38.55675301397535);(-122.82136919769634,38.55676218363138);(-122.82148619709085,38.55677154913726);(-122.82158848585178,38.55678059721325);(-122.82170419574354,38.55679891744886);(-122.82180648457128,38.55680796533448);(-122.82191095621714,38.556826307854635);(-122.82193122118635,38.55690723237144);(-122.82193106555731,38.55697031636256);(-122.82195228346988,38.55700871482697);(-122.8219751266656,38.55705380972846);(-122.82201100566671,38.557132654511605) l(r:p10udt5204-p10udt5244);(-122.82020908761368,38.55712990105074);(-122.82028951765511,38.55709397641661);(-122.82038142478956,38.55705806933677);(-122.82047333183277,38.5570221621843);(-122.8205480868097,38.55697840403349);(-122.82064163716521,38.55695089770605);(-122.8207324401641,38.55691536627987);(-122.82080325699285,38.55697767709322);(-122.82082903454234,38.55705875524654) l(r:p10udt5210-p10udt5244);(-122.82022963245443,38.55727800740568);(-122.82033283054047,38.55740459068891);(-122.82043581171556,38.557530917132226);(-122.8205387932506,38.55765724348311);(-122.82064058367475,38.557779498801246);(-122.82043677047129,38.55787824911271);(-122.82032195256897,38.557896097006804);(-122.82020715705902,38.55790493279171);(-122.82004656463008,38.55786863792116) l(r:p10udt5244-p10udt5737);(-122.81931630365494,38.55615522700413);(-122.81941928127358,38.55628155436);(-122.81953371378955,38.556416911314585);(-122.81963666964208,38.55655225047359);(-122.81975108048897,38.55669661920948);(-122.81984260499685,38.5568139165155);(-122.81993412980171,38.556931213748335);(-122.82002565490357,38.55704851090797);(-122.82011718030243,38.55716580799443) l(r:p10udt5198-p10udt5199);(-122.82549769574494,38.558120215143575);(-122.82549749964949,38.55820132313074);(-122.82548580439246,38.55829142600629);(-122.82549708566758,38.55837255109993);(-122.82549686778096,38.5584626710817);(-122.82549667168217,38.558543779064095);(-122.82548499815734,38.558624869936324) l(r:p10udt5199-p10udt5242);(-122.82550917308998,38.558120232251184);(-122.82550897700742,38.558201340238405);(-122.8254972817647,38.55829144311515);(-122.82537103067055,38.558291254855924);(-122.82525625694961,38.55829108359304);(-122.8251414613967,38.55829992421581) l(r:p10udt5199-p10udt5200);(-122.82498030198722,38.557356567159964);(-122.82504945708882,38.55742922451845);(-122.82511814581655,38.55750142340512);(-122.82517590678458,38.557574236784085);(-122.82524360171946,38.557636333494415);(-122.8253035624427,38.557714384193375);(-122.82538144661054,38.557781189425135);(-122.82541708886662,38.557846184010295);(-122.8254618712079,38.55789887401161);(-122.82547499923287,38.557965702285244);(-122.8254864145075,38.558039090046584) l(r:p10udt5200-p10udt5226);(-122.82485366345317,38.55721750949774);(-122.82491205818444,38.55729383862213) l(r:p10udt5085-p10udt5226);(-122.82445419706221,38.556788479121664);(-122.82452288458587,38.55686067836266);(-122.82458064444202,38.55693349204067);(-122.82465797774702,38.556999666084096);(-122.82472666567516,38.55707186520387);(-122.82478615886322,38.557149457740984) l(r:p10udt5085-p10udt5703);(-122.82382664474312,38.55621617350411);(-122.82388180067805,38.55620741946658);(-122.82394851404945,38.55624536775572);(-122.82399407330368,38.556272299950116);(-122.82406505089607,38.55634630531495);(-122.82412308717568,38.55642853141121);(-122.82418173058689,38.556505092396606);(-122.824259612413,38.556571898382984);(-122.82432829953633,38.556644097739884);(-122.8243763148226,38.55672167326623) l(r:p10udt5703-p10udt5708);(-122.82239270207364,38.55593463379294);(-122.8224845180386,38.55593477305107);(-122.82256325584655,38.5559259709574);(-122.82264361694992,38.55591708069449);(-122.8227224696573,38.55589943223002);(-122.8228060066571,38.555881187882946);(-122.82288325808598,38.55585461542753);(-122.82295247310529,38.55591168825192);(-122.82299937115157,38.555976141549365);(-122.82305597779609,38.556038544441755);(-122.82309163408648,38.55611276840257);(-122.82313660938998,38.55617461371037);(-122.823182640355,38.5562472204299);(-122.8232173737097,38.55632023512182);(-122.82326262662015,38.5563915337488);(-122.82335109206868,38.55635141413881);(-122.82345548443622,38.55632422124568);(-122.82355783339828,38.55628864167661);(-122.82366121493679,38.5562527493077);(-122.82376923753442,38.556225099134295) l(r:p10udt5708-p10udt5722);(-122.82208998659884,38.555934834379705);(-122.82218611615478,38.55593432019888);(-122.82228938692663,38.55594348904191) l(r:p10udt5722-p10udt5732);(-122.82042594955004,38.55640057680469);(-122.82055226451678,38.556373734392196);(-122.82065864587318,38.556346543758636);(-122.82080795951626,38.55630171223291);(-122.82095317381938,38.55624846290491);(-122.82113823310704,38.556212366039546);(-122.82124431071526,38.556212258982235) l(r:p10udt5732-p10udt5737);(-122.81932317182601,38.55616244723091);(-122.81942894771123,38.55627669594472);(-122.81954327578704,38.55641192707778);(-122.81964652275255,38.556547629000704);(-122.81976082498994,38.556691857844676);(-122.81990046287427,38.55662475285252);(-122.82005564732897,38.55654507058637);(-122.82021650617816,38.55647322146329);(-122.82030263266236,38.55643611816617) l(r:p10udt5737-p10udt5854);(-122.81882502573161,38.55487859171584);(-122.81864466622051,38.5549193397336);(-122.81861797233198,38.55496858890532);(-122.81866344206315,38.55502324206427);(-122.81870919102234,38.555086397163635);(-122.81868700629921,38.555147756094414);(-122.81856635596274,38.55519784010342);(-122.81866255053518,38.555302361803065);(-122.81875407151193,38.55541965997945);(-122.81885869252473,38.555568737639426);(-122.81898284468048,38.55570834585127);(-122.81909725288622,38.555852715240526);(-122.81921179840126,38.55600630384641);(-122.81940825516747,38.55610129668329) l(r:p10udt5771-p10udt5854);(-122.81789594865162,38.55504454603465);(-122.81805680628892,38.55497269985771);(-122.81794240155591,38.554828329438436);(-122.81805730601315,38.554774435857745);(-122.81818368709902,38.55472055998784);(-122.81826377512142,38.554819816730635);(-122.81833238653219,38.55491905560864);(-122.81844724551244,38.55488318564604);(-122.81854598708162,38.55483905528839);(-122.8187688229009,38.55479356354918) l(r:p10udt4900-p10udt4917);(-122.81429443597756,38.559130295642134);(-122.8142027489579,38.559139147717865);(-122.81409802767115,38.559139051059915);(-122.81399493920158,38.55905777905575);(-122.81399514822928,38.55897667113184);(-122.8139838797951,38.55889554497005);(-122.81397268926294,38.55880630363151);(-122.81398432109849,38.55872431712473) l(r:p10udt4945-p10udt4957);(-122.8137766111744,38.5591565642676);(-122.81367331365311,38.55915639990254);(-122.81355853863045,38.55915621716779);(-122.81345521781809,38.55916506460134);(-122.81334044278307,38.559164881652734) l(r:p10udt4900-p10udt4945);(-122.81429568040923,38.559139254524524);(-122.81420414954643,38.559148092377015);(-122.81409927207856,38.55914800994432);(-122.81399595134232,38.559156857855335);(-122.81389140943742,38.55914773479728) l(r:p10udt5183-p10udt5239);(-122.81870243881706,38.55966915108544);(-122.81879101361712,38.55966910707408);(-122.81887133411045,38.5596782434036);(-122.81896455565979,38.5596874692213);(-122.81904345275044,38.559696533639546);(-122.8191352509001,38.55970568752909);(-122.81923854923919,38.55970584707658);(-122.81934184757908,38.55970600653293);(-122.81943366832633,38.55970614819537);(-122.81953698920165,38.55969729548627);(-122.81964028753099,38.559697454679245);(-122.81973606936039,38.55972521209442);(-122.81981798543966,38.559761999908645);(-122.81988913389665,38.559817633727434);(-122.81994975535906,38.55986915958515) l(r:p10udt5213-p10udt5239);(-122.81852696113545,38.55969573413);(-122.81851573281917,38.559596584415786);(-122.81851593677048,38.559515476478786);(-122.81853909582026,38.55943440412472) l(r:p10udt5188-p10udt5239);(-122.81788428426391,38.559667700025024);(-122.81798758254143,38.55966786067622);(-122.81810233569337,38.55967705106326);(-122.81820563398556,38.55967721152208);(-122.81830895496576,38.55966835989704);(-122.8184237308335,38.55966853797638);(-122.81852707443522,38.55965067416588);(-122.818618849811,38.559668840453) l(r:p10udt5179-p10udt5188);(-122.81724151662385,38.55967571036175);(-122.81734483771676,38.559666859587914);(-122.81744813598999,38.55966702071509);(-122.81756288906979,38.559676211630745);(-122.8176662101251,38.55966736057318);(-122.81776948564638,38.55967653340924) l(r:p10udt5179-p10udt5185);(-122.81695619971838,38.55903541058524);(-122.81706985524646,38.55902662093553);(-122.81718577225138,38.559026757285885);(-122.81718554398185,38.55911687721668);(-122.8171968160496,38.55919800307619);(-122.81719663344398,38.55927009901889);(-122.81721931540375,38.5593502474784);(-122.81723058754487,38.55943137333161);(-122.8172304498293,38.55951347658164);(-122.81723024443339,38.55959458451287) l(r:p10udt5181-p10udt5185);(-122.81695622257394,38.559026398592216);(-122.81706873592229,38.55901765188268);(-122.8171857950783,38.55901774529273);(-122.81727759212352,38.55902690063731);(-122.81737846753008,38.55900023655984);(-122.8174713767362,38.558991224418804);(-122.81757485298857,38.558982359209885);(-122.81766196774632,38.55893874950672);(-122.81775390128833,38.558893832516354);(-122.8178458347161,38.558848915453176);(-122.81796669577228,38.558847733604) l(r:p10udt4959-p10udt5185);(-122.81627786300258,38.559043404741324);(-122.81639378002983,38.55904354187592);(-122.81650853198022,38.55905273382331);(-122.81661185226825,38.55904388369345);(-122.81672550785031,38.55903509437822);(-122.81684140199692,38.55904424306251) l(r:p10udt4914-p10udt4959);(-122.81548698954,38.55906915225553);(-122.81560064529444,38.55906036403287);(-122.81571656234495,38.55906050183811);(-122.8158198597409,38.55906066439994);(-122.81593463462633,38.55906084491726);(-122.81604943248156,38.55905201332954);(-122.81616418440021,38.55906120561436) l(r:p10udt4903-p10udt4914);(-122.81493432067616,38.559805275738285);(-122.81493444580646,38.55973628794525);(-122.81492315630346,38.5596733425939);(-122.81491183728654,38.55960107178903);(-122.81488931837308,38.55953000789504);(-122.81488918931426,38.55944671370276);(-122.81484346407483,38.559374545167756);(-122.8148092164529,38.55930239477134);(-122.81476438715798,38.559242789661155);(-122.81471894463807,38.55917110181228);(-122.81480112583307,38.559089170860105);(-122.81492342728387,38.55907731940593);(-122.81502786686694,38.559077439747355);(-122.8151426417739,38.559077621041254);(-122.81525629758225,38.55906883315304);(-122.81537219159091,38.55907798329145) l(r:p10udt4963-p10udt4991);(-122.8162850458938,38.56117922169301);(-122.81629631726179,38.56126034760888);(-122.8162846329517,38.561341437499465) l(r:p10udt4987-p10udt4991);(-122.81629737248151,38.56084579608847);(-122.81629716602717,38.560926903996965);(-122.81628548177353,38.56100799389241);(-122.81628527530465,38.56108910179858) l(r:p10udt4951-p10udt4987);(-122.81628674350978,38.56051233444129);(-122.81629799183949,38.56060247235627);(-122.81629778538766,38.56068358026815);(-122.81628610117521,38.560764670167124) l(r:p10udt4951-p10udt4978);(-122.81629618211576,38.559820736618875);(-122.81629973517742,38.55991756105556);(-122.8162995057942,38.56000768096814);(-122.81628782169619,38.56008877087696);(-122.81629906996336,38.56017890879821);(-122.81629886351585,38.560260016715965);(-122.81629863412877,38.56035013662326);(-122.81629842767953,38.56043124453864);(-122.81630967601158,38.56052138245376);(-122.81618342108008,38.56052118427667) l(r:p10udt4955-p10udt4978);(-122.81550543236222,38.559745352500386);(-122.81562296972544,38.55975428062874);(-122.8157377687209,38.55974544935054);(-122.81584106709732,38.55974561189605);(-122.81595472387562,38.559736823333175);(-122.81607064201465,38.5597369607905);(-122.81617391742704,38.55974613503382) l(r:p10udt4903-p10udt4955);(-122.81492291582198,38.55980426249634);(-122.81503589641346,38.55978949321569);(-122.81512934065522,38.55978955024399);(-122.81520966080886,38.55979868908483);(-122.81531053805207,38.55977202679736);(-122.81541632685425,38.55977197904384) l(r:p10udt4921-p10udt4924);(-122.81466417202144,38.561074387418685);(-122.8146666725713,38.561176670732465);(-122.81474211402256,38.56121451688353);(-122.81481228448673,38.56126966098161);(-122.81491103483802,38.5612946761366);(-122.81499911364747,38.561339413020455);(-122.8150794355084,38.561348551952456);(-122.81517123524323,38.561357708951824);(-122.81526448154493,38.56135792572896);(-122.81537781355107,38.56136704695156) l(r:p10udt4901-p10udt4952);(-122.81307172037076,38.56143543879405);(-122.81296972112956,38.5614531300496);(-122.81285357084005,38.56146212632553);(-122.81273876877165,38.56147095476502);(-122.81262396667562,38.561479783091826);(-122.81250916455197,38.56148861130598);(-122.81239324362066,38.56148847031504);(-122.8122784414559,38.56149729830279) l(r:p10udt4901-p10udt4974);(-122.81417245319274,38.56143723598304);(-122.81408067670331,38.56141906621277);(-122.8139737939751,38.561400409899065);(-122.81388559952549,38.561400732184865);(-122.81378105467019,38.56139149878421);(-122.81371345489836,38.56139144635165);(-122.81364458775182,38.56139133674259);(-122.81353092809488,38.56140012305286);(-122.8134161262053,38.56140895215715);(-122.81330132428808,38.56141778114879);(-122.8131854034658,38.56141764094255) l(r:p10udt4924-p10udt4974);(-122.81467287156208,38.56108026613967);(-122.81458244098933,38.561129307638225);(-122.81452626353845,38.56118221771594);(-122.81446873540557,38.56123619870717);(-122.81441120718661,38.56129017966942);(-122.81436628492644,38.56135189447676);(-122.8143217581895,38.56140142479353);(-122.81427547567371,38.561545543770244) l(r:p10udt4924-p10udt4968);(-122.81493260375733,38.56042008127471);(-122.81490941722602,38.560510164880455);(-122.81492068694567,38.56059129093394);(-122.81490893281507,38.56066448636305);(-122.81488553560189,38.56073761350843);(-122.81486231859793,38.560800951030885);(-122.81482723427249,38.56086505393743);(-122.81478085320514,38.560937557057926);(-122.81472450127595,38.561005533789064) l(r:p10udt4903-p10udt4968);(-122.81495669137291,38.55997853004187);(-122.81494492340329,38.56006952844024);(-122.81493327392536,38.56015873356295);(-122.81494454361855,38.560239859618946);(-122.81492135716096,38.56032994323002) l(r:p10udt5879-p10udt5880);(-122.80458415005478,38.55452742082156);(-122.80448085921341,38.55452724836264);(-122.80436611603659,38.55451804464131) l(r:p10udt3157-p10udt5879);(-122.80513503455705,38.554528339063346);(-122.80502029129237,38.554519135982964);(-122.80491700046016,38.554518963908876);(-122.8048022085006,38.55452778460222);(-122.80469894203948,38.55451860034209) l(r:p10udt3149-p10udt3157);(-122.8056965225693,38.5548537055411);(-122.80568533160593,38.55477357387396);(-122.8056855066813,38.554682458611055);(-122.80568572501274,38.55460135066549);(-122.80568594334329,38.55452024271881);(-122.80557117574571,38.55452005217231);(-122.80546786062266,38.554528892578276);(-122.8053530930128,38.554528701817944);(-122.80523834971882,38.55451949895121) l(r:p10udt3149-p10udt3158);(-122.80568504575648,38.55485368649241);(-122.80567392232189,38.554774550148956);(-122.8056740298957,38.55468243956136);(-122.8056743157559,38.55460232694062);(-122.80577303788445,38.55450764230386);(-122.80590405024506,38.55450258045868);(-122.80601655783433,38.55449384434965);(-122.80612213283737,38.55449392978629);(-122.80623687620707,38.554503131787044);(-122.80634016702471,38.55450330269657);(-122.80645493460092,38.55450349248914);(-122.80656967802962,38.55451269416385) l(r:p10udt3147-p10udt3162);(-122.8056754127283,38.55416875588747);(-122.8056756553269,38.554078635940016);(-122.80568743397001,38.55398763840792);(-122.8056990938427,38.55389843413814);(-122.80568783550471,38.55381730713364);(-122.8058141028763,38.55380850460432);(-122.80592884511633,38.55381770690509) l(r:p10udt3156-p10udt3165);(-122.80558454567262,38.55381713565113);(-122.80569936066601,38.55379930219113);(-122.80568807808474,38.5537271871807);(-122.80568829640583,38.55364607922185);(-122.80568853898379,38.55355595926627);(-122.8057117104903,38.55347488939944);(-122.80571195303754,38.553384769441074);(-122.80570069476272,38.553303642430855);(-122.80570091306635,38.55322253446607);(-122.80560079663277,38.55313827663535);(-122.80550727342052,38.55315006152107);(-122.80540271702364,38.5531499428949);(-122.8052879759139,38.553140740080366) l(r:p10udt5961-p10udt5963);(-122.80293139155309,38.554595628832935);(-122.80294355532317,38.55451174883758);(-122.80294321947059,38.55443454993436);(-122.80295506343532,38.554351471135924);(-122.80297811704344,38.55426338001695) l(r:p10udt5956-p10udt5963);(-122.80282767235379,38.5549707059344);(-122.80286308122034,38.55489671210365);(-122.80287345951726,38.55482872637309);(-122.80290820667119,38.55474795719881);(-122.80293110305314,38.55466884242371) l(r:p10udt5942-p10udt5956);(-122.80266725519853,38.55533028947223);(-122.80273437234165,38.55526330529838);(-122.80275826248241,38.55518808495144);(-122.80278102244289,38.555117005627785);(-122.8028160406863,38.555043989752164) l(r:p10udt5942-p10udt5951);(-122.80232407144655,38.555679336300734);(-122.80240393511171,38.555616856875154);(-122.8024925442253,38.55556029464228);(-122.80254040671484,38.55548350275298);(-122.8026077077609,38.55541629635544) l(r:p10udt5951-p10udt5972);(-122.80168672078834,38.55592849528348);(-122.8017772255524,38.555910823982096);(-122.80186786849238,38.5558842460547);(-122.80197526683823,38.55585681786977);(-122.80206315003952,38.555821492167425);(-122.80216691761757,38.55579452013518);(-122.80225103507122,38.555740127592145) l(r:p10udt5949-p10udt5972);(-122.80119582715952,38.555954684317754);(-122.80131044681184,38.55596388054131);(-122.80141384028543,38.55597307840018);(-122.8015016541657,38.555955417219565);(-122.8015962791351,38.55594629651444) l(r:p10udt5949-p10udt5973);(-122.80098914089207,38.55594531072142);(-122.80109126702783,38.55595445159078) l(r:p10udt5966-p10udt5973);(-122.80018621828182,38.555993194152805);(-122.80057510150705,38.55584542907812);(-122.80067829466928,38.55588165294547);(-122.80078151280783,38.555908864732594);(-122.80087322918197,38.55594506889727) l(r:p10udt5819-p10udt5966);(-122.79980874703874,38.55645891404836);(-122.7998653096074,38.55641461534977);(-122.79993099256684,38.55634900384019);(-122.79997707556596,38.55628599836922);(-122.80001292925476,38.55620993307143);(-122.80007104900051,38.55613719002787);(-122.8001383529516,38.556069985065264) l(r:p10udt5800-p10udt5819);(-122.79917260485965,38.55667213841836);(-122.79928737583467,38.5566723352467);(-122.79932443798924,38.55663072089093);(-122.79944828055741,38.55659150272995);(-122.79950566598166,38.55659160102287);(-122.79956310145646,38.55657367531242);(-122.79962840834416,38.556547213483526);(-122.79969584114593,38.55652078174547);(-122.79975841185903,38.556501913299684) l(r:p10udt5778-p10udt5800);(-122.79781651515313,38.55730965835508);(-122.79790833273637,38.557309816892506);(-122.79800015032035,38.55730997535794);(-122.79810347031119,38.557301141559776);(-122.79809237125517,38.55716594197851);(-122.79809279981153,38.55701273821596);(-122.79809322836462,38.55685953444938);(-122.79847257742037,38.55664389936822);(-122.798529862266,38.55668004608727);(-122.79863315615069,38.556680223804975);(-122.79874792713477,38.5566804211622);(-122.79885124613553,38.55667158670042);(-122.79895454001017,38.556671764134535);(-122.7990692858962,38.556680973163864) l(r:p10udt5778-p10udt5822);(-122.79762135225468,38.55732734519476);(-122.79751805745033,38.55732716658179) l(r:p10udt5778-p10udt5818);(-122.79805579653352,38.55793190134052);(-122.79806745032205,38.55786883724508);(-122.79810213426978,38.557778776782946);(-122.79809098472695,38.55766160118274);(-122.79809146371328,38.55749037346241);(-122.79806898829644,38.55731910614543);(-122.79800007465747,38.55733701131481);(-122.79790825703911,38.55733685284924);(-122.7978164394215,38.55733669431163);(-122.7977131951161,38.55731849189986) l(r:p10udt5784-p10udt5818);(-122.79942617119602,38.557474263698396);(-122.79933779540339,38.55752676208981);(-122.79915220311786,38.55759939103885);(-122.79901117774988,38.557618001869194);(-122.79892142878951,38.55764443265087);(-122.79885359383766,38.55767097458115);(-122.79877606474176,38.557732237409056);(-122.79864151581454,38.55779772982305);(-122.79851508967894,38.557860596499765);(-122.79838086710699,38.557904963087864);(-122.79816143198946,38.55794090223368);(-122.798055418314,38.55806708110964) l(r:p10udt5784-p10udt5791);(-122.80091999613279,38.55717915206693);(-122.80071262399697,38.55723305351977);(-122.80055971517048,38.5572602916782);(-122.8004104369163,38.557287073358815);(-122.80028408801688,38.557322905997324);(-122.80017345556249,38.557367132786034);(-122.80001008376146,38.55738543186334);(-122.79984935282194,38.557403181232885);(-122.79967774755406,38.55742983937164);(-122.79953774455268,38.55743878675824) l(r:p10udt5791-p10udt5807);(-122.80175936790148,38.55681991033381);(-122.80159986365764,38.5569001465678);(-122.80143847266746,38.55697221309198);(-122.80126630310237,38.557052932336596);(-122.80115063424643,38.55709812892492);(-122.80103062784421,38.5571349245693) l(r:p10udt5807-p10udt5877);(-122.80235861319673,38.556549806352855);(-122.80223721249747,38.55659624723012);(-122.80213379518918,38.556641132637594);(-122.80202475965766,38.55670274823554);(-122.8019039317711,38.556757900303246) l(r:p10udt5877-p10udt5885);(-122.80344350923528,38.55615668925288);(-122.80340932362529,38.55606651153464);(-122.80335215956929,38.555985307241265);(-122.80323719313095,38.556057210324795);(-122.80311077403175,38.55612008200208);(-122.80298430551736,38.55620097752178);(-122.80285273013088,38.55628035073553);(-122.80271480862787,38.55635221464254);(-122.80253603589365,38.55644354711843) l(r:p10udt5878-p10udt5885);(-122.80385431351712,38.55565934772154);(-122.80373168441396,38.55569755910308);(-122.80363991787975,38.55567938115583);(-122.80358248412129,38.55569730887374);(-122.80351345073016,38.55576027725687);(-122.8034672730013,38.555859332098315);(-122.80339814117136,38.55595834837397);(-122.80335902612342,38.555992528400616);(-122.80341936825118,38.55606215177222);(-122.80345450927646,38.55615411813286);(-122.80347769493024,38.55624686696) l(r:p10udt5876-p10udt5878);(-122.8038957439808,38.55444459924487);(-122.8039068290316,38.554588810359796);(-122.80397468875371,38.55474885291571);(-122.80405433652626,38.55490160935048);(-122.80405477579828,38.55505824598516);(-122.80405438438865,38.555202437862285);(-122.80405411529291,38.555301569775764);(-122.80405384619586,38.55540070168753);(-122.80398456877793,38.55555379027065) l(r:p10udt3159-p10udt5876);(-122.80456307525016,38.55383345896257);(-122.80445978540189,38.553833286487674);(-122.80434501890484,38.553833094742);(-122.80388414632802,38.55376910445488);(-122.80389738061355,38.55385036984735);(-122.80388550966703,38.55398612996057);(-122.80387366572253,38.55412129064299);(-122.80387320307213,38.55430093108949) l(r:p10udt3159-p10udt3165);(-122.80535501271558,38.55381675425264);(-122.80524024623867,38.553816563384636);(-122.80513693208356,38.55382540350216);(-122.8050221655944,38.55382521242034);(-122.80490851684107,38.553833990398985);(-122.80479260824951,38.55383384191389);(-122.80467786613345,38.553824638499826) l(r:p10udt3162-p10udt3165);(-122.80566393602439,38.55416873683699);(-122.8056641786373,38.5540786168896);(-122.80567601666536,38.553986722726215);(-122.80568761718176,38.5538984150901);(-122.80557685015874,38.553823821464555);(-122.80546977919354,38.553816945008144) l(r:p10udt3149-p10udt3162);(-122.80567356894366,38.554853667442586);(-122.8056625130375,38.55477552642283);(-122.80566255311011,38.55468242051053);(-122.80566290649877,38.55460330321462);(-122.8056744665835,38.55452022366922);(-122.80566323244084,38.55443008467655);(-122.80566347505687,38.5543399647332);(-122.80567519438867,38.55424986383897) l(r:p10udt3149-p10udt3161);(-122.80629239787585,38.555197150282446);(-122.8061776291979,38.55519696032766);(-122.80606288473346,38.55518775826707);(-122.80594811607203,38.55518756808732);(-122.80583332317057,38.55519638978826);(-122.80571855449698,38.555196199383424);(-122.80570732019763,38.555106060405);(-122.80569612918434,38.55502592874223);(-122.80570778107376,38.55493483253082) l(r:p10udt3150-p10udt5875);(-122.80528240923728,38.55520448681186);(-122.80517911742278,38.55520431496648);(-122.80506546651593,38.555213093089776);(-122.80496103257839,38.5552129638752);(-122.80484628824871,38.55520376062253) l(r:p10udt3150-p10udt3161);(-122.80629237369206,38.55520616227586);(-122.80617760499979,38.55520597232101);(-122.80606286052105,38.55519677026037);(-122.80594809184527,38.555196580080576);(-122.80583329892947,38.55520540178142);(-122.80571853024155,38.55520521137653);(-122.80561528695996,38.555187015929825);(-122.80550161184907,38.55520480646977);(-122.80539720221662,38.55519566565136) l(r:p10udt3152-p10udt3161);(-122.80696953309767,38.55519826872552);(-122.80685478852693,38.55518906744083);(-122.80674001985801,38.55518887803741);(-122.80662522704797,38.55519770051508);(-122.80652193523495,38.55519752985449);(-122.80640719072431,38.55518832813124) l(r:p10udt3155-p10udt3166);(-122.80696169530783,38.55383743858345);(-122.80684695290051,38.55382823729459);(-122.8067322105229,38.55381903589332);(-122.80661741989098,38.553827858371086);(-122.80650267754417,38.55381865674492) l(r:p10udt3282-p10udt3369);(-122.81271028352171,38.55431540207489);(-122.81281357410964,38.55431556727235);(-122.81291564387479,38.554297761476576);(-122.81302020197418,38.55429787339721) l(r:p10udt3362-p10udt3369);(-122.81182655170092,38.55432299699151);(-122.81194131902716,38.55432318140497);(-122.812054967724,38.554314396599636);(-122.81215940040045,38.55431451948274);(-122.81227416771539,38.55431470356986);(-122.81237743487638,38.554323881150054);(-122.81249222561664,38.554315053025455);(-122.81260696953996,38.5543242487844) l(r:p10udt3362-p10udt3384);(-122.81182657519305,38.554313984993826);(-122.8118267631296,38.55424188901188);(-122.81181549785067,38.554160762583685);(-122.81180425609136,38.55407062415528);(-122.81179299086365,38.55398949772269) l(r:p10udt3362-p10udt3368);(-122.81101074096773,38.55406470921749);(-122.81109246534503,38.55415959812762);(-122.81115786292544,38.55419839134163);(-122.81121512864536,38.55424354387752);(-122.8112794615248,38.55425949457518);(-122.81136061887763,38.55428692767969);(-122.81143001967435,38.55431429835007);(-122.81148450004389,38.55432262170735);(-122.81155297303906,38.554331691592715);(-122.8116429004661,38.554331713693536);(-122.81173471433712,38.55433186137736) l(r:p10udt3367-p10udt3368);(-122.81093262611905,38.55394500622823);(-122.81089864123787,38.55385546558344);(-122.81087569135865,38.55377368558186);(-122.81088718377939,38.55370739041367);(-122.8108988256653,38.55364432496456);(-122.81097937371291,38.553519561026) l(r:p10udt3173-p10udt3368);(-122.80954239680055,38.5544274477241);(-122.81050366418582,38.55419509766168);(-122.81065097750714,38.55413292600022);(-122.81079616073866,38.554070658224354);(-122.81096657556816,38.55402421438821) l(r:p10udt3172-p10udt3173);(-122.80901515787004,38.55416523977962);(-122.80913104295492,38.554174485531654);(-122.80924466817025,38.55417462600142);(-122.80936055330055,38.554183871526355);(-122.80937065016599,38.55427396359555);(-122.8093704359774,38.554355071563215);(-122.80937022178796,38.55443617952977);(-122.80945055903076,38.55443631031691) l(r:p10udt3171-p10udt3177);(-122.80815435707758,38.554181856418936);(-122.80803959000127,38.55418166829365);(-122.8079362996335,38.55418149888469);(-122.80794760850708,38.55424460168312);(-122.80794741669622,38.5543166976488);(-122.8079472248847,38.554388793613604);(-122.80793558029856,38.55445185875416) l(r:p10udt3172-p10udt3177);(-122.80878557601646,38.554182889097);(-122.80866852522107,38.55418260840818);(-122.8085675663589,38.554164508746034);(-122.80846425210073,38.55417335179913);(-122.80836096174231,38.55417318276488);(-122.80825767138474,38.55417301363948) l(r:p10udt3172-p10udt3178);(-122.80867231372942,38.55361494579952);(-122.80868362316001,38.55367804853439);(-122.80868340820408,38.5537591565071);(-122.80867171659355,38.55384024572319);(-122.80867150162302,38.55392135369358);(-122.8086827633311,38.55400248041843);(-122.80868254837175,38.554083588386604);(-122.80870528682213,38.554164733861704);(-122.80878793134387,38.55415594628805);(-122.80890036695703,38.554174064494646) l(r:p10udt3175-p10udt3176);(-122.80865093708937,38.553020116445964);(-122.80880015576233,38.55301134820354);(-122.8089034206051,38.553020528844215);(-122.80901820968283,38.55301170411973);(-122.80912149838338,38.55301187256987);(-122.8092362636072,38.55301205962981);(-122.80933952850806,38.55302123988595) l(r:p10udt3174-p10udt3180);(-122.80869856263973,38.55237132757214);(-122.80872116753989,38.55245969142455);(-122.80879914833395,38.55243468639531);(-122.80891642379548,38.552443779665516);(-122.80901971168284,38.55244394820337);(-122.80913447600312,38.55244413536078);(-122.80923774007859,38.55245331570547) l(r:p10udt3180-p10udt3259);(-122.80867603970648,38.552209074079244);(-122.80867625465876,38.55212796608506);(-122.80866506079644,38.55204783465788);(-122.80867668456074,38.55196575009325);(-122.80866544705229,38.551875611340584);(-122.80866563812933,38.55180351534171);(-122.8086544443276,38.55172338390924);(-122.80866606805017,38.551641299341014) l(r:p10udt3180-p10udt3264);(-122.80871003905995,38.5523713463244);(-122.80859168154778,38.55245863369157);(-122.80848029548346,38.552452079055456);(-122.80837698366655,38.55246092204043);(-122.80827369575879,38.552460752935474);(-122.80815893141785,38.5524605649342);(-122.8080556674732,38.55245138363827) l(r:p10udt3180-p10udt3265);(-122.80866456331206,38.552209055323715);(-122.80866477827726,38.55212794732959);(-122.8086536519824,38.55204881122492);(-122.80866520820501,38.551965731337894);(-122.80865397071089,38.55187559258416);(-122.80856213608264,38.55188445449201);(-122.80845884899851,38.55188428555252);(-122.80834408557273,38.551884097735105);(-122.80824079849044,38.55188392860324);(-122.80812603506669,38.55188374057207);(-122.80802274798624,38.55188357124784);(-122.80790798456454,38.55188338300294);(-122.80780472147804,38.55187420148699) l(r:p10udt3382-p10udt3385);(-122.81056015498484,38.55145512669152);(-122.81067985217415,38.55137593563438);(-122.81071034466125,38.551299315085075);(-122.81073316452395,38.55121031402919);(-122.81075638051956,38.551129055617665);(-122.81079125775398,38.55103835698154);(-122.81081421033976,38.55095792031456);(-122.81084916941529,38.55087597356461);(-122.81087198897099,38.550786972474434);(-122.81078636934242,38.55076061398709);(-122.8106946545399,38.550724417560595);(-122.81059104677722,38.550679007107554);(-122.81049977271798,38.55064299391443);(-122.81041321166248,38.55059874499432) l(r:p10udt3382-p10udt3390);(-122.81026193761234,38.55139155948292);(-122.81035650676002,38.55140099382628);(-122.81045693948498,38.551427923512065) l(r:p10udt3281-p10udt3390);(-122.80913600088421,38.551867367385306);(-122.81015869861889,38.551373368042164) l(r:p10udt3180-p10udt3281);(-122.80865308691767,38.55220903656708);(-122.80865330189575,38.55212792857299);(-122.80864224316805,38.55204978779088);(-122.8086537318493,38.551965712581406);(-122.80864249436951,38.55187557382661);(-122.80876873412524,38.55187578009777);(-122.80886056871778,38.55186691802776);(-122.80895237943926,38.5518670678856);(-122.80904416632399,38.55187622967146) l(r:p10udt3176-p10udt3180);(-122.80863946056606,38.55302009768766);(-122.80867410509697,38.55293904597629);(-122.80867432005705,38.55285793799224);(-122.80867453501627,38.55277683000706);(-122.80867474997459,38.55269572202076);(-122.8086634884732,38.55261459527753);(-122.80867517988868,38.55253350604477);(-122.80866416928113,38.55245803833014);(-122.80865282069436,38.552373943013386);(-122.80868730116063,38.55229020082677) l(r:p10udt3176-p10udt3269);(-122.80862798404274,38.55302007892822);(-122.80858205405353,38.5530290158772);(-122.80847876533174,38.55302884695109);(-122.8083754766108,38.553028677933824);(-122.80827218789071,38.553028508825456);(-122.80818037569583,38.55302835843041);(-122.80807708697738,38.5530281891499);(-122.80797382223183,38.55301900778061) l(r:p10udt3176-p10udt3178);(-122.80867228984411,38.553623957796624);(-122.8086725764671,38.55351581383034);(-122.80866131484407,38.553434687097976);(-122.8086730792301,38.55335260278324);(-122.80867322136316,38.55327248989888);(-122.80867346021157,38.55318236992167);(-122.80868515171048,38.553101280696005) l(r:p10udt3178-p10udt3179);(-122.80798378858816,38.55358678243027);(-122.80809857867524,38.553577958610965);(-122.8082133208496,38.5535871586729);(-122.80832808698192,38.55358734662545);(-122.8084428531153,38.5535875344655);(-122.80855761924975,38.55358772219305);(-122.80867245704104,38.553560873816544);(-122.80878712765093,38.553597109307844) l(r:p10udt3155-p10udt3179);(-122.80717855638456,38.55381081497464);(-122.8072945903964,38.55381095090891);(-122.80740712078813,38.55379320146308);(-122.8074096870349,38.553702247467854);(-122.80740993391049,38.55359485185138);(-122.8075361766636,38.55359505945934);(-122.80765096681671,38.55358623607913);(-122.80776573294378,38.55358642458295);(-122.80788047508779,38.55359562497101) l(r:p10udt3155-p10udt3163);(-122.80740798640208,38.55432482352097);(-122.80741991993406,38.554153614486125);(-122.8074089000568,38.553982367687354);(-122.80737497072997,38.55381250417689);(-122.80729680240195,38.5538379012069);(-122.80717975170015,38.55383779793032);(-122.80707648591431,38.55382861576808) l(r:p10udt3152-p10udt3163);(-122.80719911861065,38.5551806229821);(-122.80731386321331,38.555189823929396);(-122.80741715502012,38.55518999389124);(-122.80741761184179,38.55501876600223);(-122.80741806865959,38.55484753810818);(-122.8074070486877,38.554676291329315);(-122.80741898228351,38.55450508230503) l(r:p10udt3154-p10udt3160);(-122.80583000203964,38.55643103272238);(-122.80571523140301,38.5564308423092);(-122.80561193783096,38.55643067084112);(-122.80560070347923,38.55634053187135);(-122.80560092191891,38.55625942394923);(-122.80560111608676,38.556187328017515);(-122.8056013345248,38.55610622009328);(-122.80564743669306,38.556034200382385);(-122.80568208604069,38.555953149610836) l(r:p10udt5631-p10udt5678);(-122.8003781904146,38.557809253597064);(-122.80027582795387,38.55784481285593);(-122.8001853081576,38.5578891254218);(-122.80008727827374,38.55793538804724);(-122.80000678735547,38.55798932273884);(-122.79998353305328,38.558097427349395);(-122.80005224720877,38.55815161689965);(-122.80012096146744,38.55820580640935);(-122.80019780918221,38.55825363729405);(-122.80026652364731,38.55830782671791);(-122.8003452985298,38.558352060308586);(-122.80042628750383,38.5584067615181);(-122.80050570764678,38.55845146607596);(-122.80060233762413,38.55844995206343);(-122.8007056091707,38.55845913994465) l(r:p10udt5626-p10udt5639);(-122.79886025176911,38.55868994985387);(-122.79876801254916,38.5587078930614);(-122.79868648443791,38.55871694341935);(-122.79859577579381,38.55873463295124);(-122.7986042424622,38.55881602483646);(-122.79860399099297,38.55890614467228);(-122.79861517471254,38.558986276940146);(-122.79861499067783,38.55907739210122);(-122.79860326172583,38.55916749218827);(-122.79861451290638,38.55924861978051);(-122.79861426144609,38.55933873960972);(-122.79861400998466,38.55942885943751);(-122.7986137836684,38.55950996728136);(-122.79861353220484,38.55960008710652) l(r:p10udt5639-p10udt5811);(-122.79886338071023,38.55869862050973);(-122.7987707718568,38.55871664075495);(-122.79868808270686,38.55872586761941);(-122.79859324283993,38.55864477739903);(-122.79859346917225,38.558563669543275);(-122.79858221811877,38.55848254193986);(-122.79858244446214,38.558401434081915);(-122.79858267080463,38.55832032622283);(-122.79859437449245,38.55823923810901) l(r:p10udt5776-p10udt5781);(-122.79541369396469,38.558747408343386);(-122.79533175465087,38.558738162516065);(-122.79524016032624,38.5587290113033);(-122.79513584889912,38.558710659744136);(-122.79503511810054,38.55868366194819);(-122.7949548274051,38.55866549729223);(-122.79488601415882,38.55864735269138);(-122.79480254582033,38.55863784764284);(-122.7947197675878,38.558600813762844);(-122.79464067461662,38.558574097693956);(-122.79449926139208,38.55861022779561);(-122.79446004988074,38.55867767196523);(-122.79440155351953,38.55874186126535);(-122.79433463632725,38.558808601801154) l(r:p10udt5776-p10udt5787);(-122.79636588801226,38.55890227297163);(-122.79636611684968,38.55882116513064);(-122.7963663456862,38.5587400572885);(-122.79627452629228,38.55873989753672);(-122.79617122947501,38.558739717729885);(-122.79606793265867,38.558739537831904);(-122.79596463584322,38.558739357842775);(-122.79586131353945,38.558748189744726);(-122.79575801671297,38.55874800957324);(-122.7956432424624,38.558747809275815);(-122.79552849374383,38.55873859688383) l(r:p10udt5810-p10udt6092);(-122.7945025010233,38.559340337044766);(-122.79440951243912,38.55931283297372);(-122.79431669325852,38.559276244205485);(-122.79423013184653,38.559231983383974);(-122.79414991785703,38.55918678223478);(-122.79401208505065,38.559222587892066);(-122.79396594351572,38.55930361492433);(-122.79391982760916,38.55937562995683);(-122.79388396591986,38.55945169332519);(-122.79383784983635,38.559523708323084);(-122.79379173366056,38.55959572330167);(-122.7937458151814,38.55967638046429);(-122.79369947527206,38.55974876518038);(-122.79366361316364,38.55982482847519);(-122.79359027957915,38.55988345567323);(-122.79351654153946,38.559924652856324) l(r:p10udt5799-p10udt5810);(-122.79513507302042,38.55945882642739);(-122.79504444583368,38.55944068847021);(-122.79495267676279,38.55942250371786);(-122.7948707111298,38.55942226954759);(-122.79477911599744,38.55941311797294);(-122.79468476768366,38.559385412252446);(-122.79459707846456,38.55934978412855) l(r:p10udt5793-p10udt5799);(-122.79583626829357,38.5594871322091);(-122.79574444795229,38.55948697203897);(-122.7956526276117,38.5594868117968);(-122.79556080727187,38.55948665148264);(-122.79548049001265,38.559477499167784);(-122.79536457267282,38.55947725187401);(-122.79525099032884,38.55945907394831) l(r:p10udt5777-p10udt5804);(-122.79633066581428,38.56019107052924);(-122.79635041467539,38.56029821326551);(-122.79637292780811,38.56037830239346);(-122.79639578787572,38.560468623918766);(-122.79640701134747,38.56055876367859);(-122.79641812580708,38.56064892930293) l(r:p10udt5796-p10udt5825);(-122.79450061365603,38.56010641033014);(-122.79438339918552,38.5601767916342);(-122.79435288559434,38.5602534077568);(-122.79433001735933,38.56033358092962);(-122.79429503492375,38.56041552255014);(-122.79427216657233,38.56049569570911);(-122.79423778111529,38.560574788507104);(-122.79420311668936,38.56065583578583) l(r:p10udt5782-p10udt5796);(-122.7953054037961,38.560532595252866);(-122.79530556646068,38.56045049211051);(-122.79529439879941,38.56036124907232);(-122.79528316414415,38.560280219690554);(-122.79529480448758,38.560198136615476);(-122.79520022584121,38.56018869009342);(-122.79510978974135,38.560179719306426);(-122.79500667255996,38.560170541232026);(-122.794914720871,38.56016135368384);(-122.79482292529745,38.56015218080907);(-122.79471861192282,38.56013382887719);(-122.79460637601038,38.56011582256608) l(r:p10udt5782-p10udt5804);(-122.79613262244798,38.56021762269921);(-122.79602932351665,38.560217442761385);(-122.79593468086337,38.560208126613695);(-122.79583422880953,38.56020809065018);(-122.79573095540064,38.56019889846918);(-122.79561617884299,38.56019869813891);(-122.79551287994204,38.56019851774545);(-122.79539810338655,38.56019831720137);(-122.79531160280565,38.56029133165979);(-122.79532864266852,38.560358421794284);(-122.79533989070993,38.560448758663014);(-122.79533976954339,38.56053166012627);(-122.79532806181717,38.560612747871794) l(r:p10udt5804-p10udt5808);(-122.7963321146776,38.56018213061738);(-122.79643104158815,38.56021814200759);(-122.79653434052307,38.56021832159094);(-122.7966261364042,38.56022749312445);(-122.7967294099715,38.56023668451611);(-122.79683268356554,38.56024587581673) l(r:p10udt5793-p10udt5804);(-122.79605439253878,38.559469488362126);(-122.79615769039977,38.55946966827491);(-122.79627246580193,38.5594698680711);(-122.79638364324197,38.55955380928804);(-122.79637520756654,38.55964227052027);(-122.79637505174038,38.559722383265196);(-122.79636327230422,38.55980446621375);(-122.79635163885737,38.559884558990554);(-122.7963513369866,38.55996666190134);(-122.79635118113164,38.56004677464172);(-122.7963509522674,38.56012788246562);(-122.79637338668479,38.560213010557916);(-122.79623597226792,38.56019977858553) l(r:p10udt5787-p10udt5793);(-122.79638835986263,38.55907354055942);(-122.79638813104815,38.55915464839703);(-122.79638790223271,38.5592357562335);(-122.79638767341639,38.559316864068855);(-122.7963759670702,38.559397951939836);(-122.79637573823915,38.559479059772855);(-122.79627238948603,38.559496904015084);(-122.79615761404088,38.55949670421869);(-122.7960543161412,38.559496524305736);(-122.79593959165861,38.559478300333296) l(r:p10udt5787-p10udt5817);(-122.79639983733998,38.55907356052132);(-122.79639960853838,38.55915466835898);(-122.79639937973585,38.55923577619552);(-122.79639915093242,38.55931688403092);(-122.79638744459913,38.55939797190307);(-122.79647906152871,38.55947022742068);(-122.79659386233253,38.55946141492027);(-122.796697185571,38.55945258237541);(-122.79680050878457,38.55944374973925);(-122.7969152841501,38.55944394890511) l(r:p10udt5787-p10udt5812);(-122.79743440380653,38.55850759755259);(-122.79743417617215,38.55858870540331);(-122.79743394853688,38.55866981325291);(-122.79743374619362,38.558741909118275);(-122.79731897194083,38.55874171046378);(-122.79721567511423,38.55874153157852);(-122.7971123782886,38.558741352602105);(-122.79702053354426,38.55875020541832);(-122.79691723670743,38.55875002626969);(-122.79681393987153,38.55874984702992);(-122.79671064303658,38.558749667699004);(-122.79659586877656,38.558749468335535);(-122.79649257194352,38.5587492888122);(-122.79637777226085,38.55875810121745);(-122.79640054916128,38.55882122501916);(-122.79640032036255,38.55890233286032);(-122.79637711121178,38.558992412757725) l(r:p10udt5639-p10udt5812);(-122.79886650965214,38.558707291165504);(-122.79877353116512,38.55872538844844);(-122.7986896809762,38.55873479181944);(-122.79859853508158,38.55874338064876);(-122.79849217945886,38.55875263756779);(-122.79839924858332,38.558752518217204);(-122.7982945531257,38.558743395440345);(-122.79819123109215,38.55875222939942);(-122.79812236652725,38.55875211066577);(-122.79804202453538,38.55875197209199);(-122.79793872768953,38.55875179384469);(-122.79783543084456,38.558751615506246);(-122.79773213400051,38.558751437076644);(-122.7976288118888,38.55876027053911);(-122.79752427300006,38.55875102263217);(-122.79742221817949,38.55875991322408);(-122.79739951629531,38.55866975366853);(-122.79739974396928,38.55858864581909);(-122.79739997164235,38.55850753796854);(-122.79742312877082,38.55843548182414) l(r:p10udt5639-p10udt5681);(-122.79962443122554,38.55829193079373);(-122.799535216559,38.55834899730286);(-122.79947430016385,38.558409337606946);(-122.79938031966283,38.55845550644608);(-122.7993004416479,38.55850012087336);(-122.79921997458214,38.55854504303107);(-122.79912744106103,38.5585902532248);(-122.79903457014451,38.55862656036125);(-122.79893751495617,38.55866339324399) l(r:p10udt5678-p10udt5681);(-122.80038179620392,38.55781780930659);(-122.80028046653321,38.55785305606529);(-122.80019136995428,38.55789677795295);(-122.80009414468508,38.55794260939529);(-122.80001423772948,38.55799617790848);(-122.79992090576359,38.55804187831794);(-122.799842425856,38.55810355864208);(-122.79977398685008,38.55816601828892);(-122.79968477245734,38.55822308491399) l(r:p10udt5659-p10udt5678);(-122.80124907116071,38.557529141569184);(-122.80117081781157,38.55755826566966);(-122.8010804052509,38.55759367123702);(-122.80098740885262,38.557620926892334);(-122.8008836382097,38.55764789786242);(-122.8007881148575,38.55767523390667);(-122.80068835069738,38.5577106497465);(-122.80058598852833,38.557746209277944);(-122.80047795486226,38.55777383802293) l(r:p10udt5659-p10udt5788);(-122.80200196891776,38.55808274391218);(-122.8019201478947,38.55806432527036);(-122.8018398561342,38.558046165331774);(-122.80176908839049,38.55801840475904);(-122.80168943254354,38.557991456523325);(-122.80154956554198,38.55790903751261);(-122.80149920919115,38.557856682372744);(-122.80143313854248,38.557808267512385);(-122.80137503765346,38.55773507972399);(-122.80132907070565,38.55767161237472);(-122.80130481476006,38.55759500375757) l(r:p10udt5788-p10udt5795);(-122.80304805290545,38.55807558703409);(-122.80294473239185,38.55808442519366);(-122.80284146108764,38.55807523928776);(-122.80275104165153,38.55808402941082);(-122.80264634659629,38.55807491052592);(-122.80254302603599,38.558083748330816);(-122.80243973011811,38.55808357405758);(-122.80235938884925,38.55808343844871);(-122.8022689693458,38.55809222819923);(-122.80217572696505,38.558092140265295);(-122.80208393307443,38.558082973085455) l(r:p10udt5780-p10udt5795);(-122.803565832374,38.557598819447435);(-122.80357702606375,38.557660647941304);(-122.80355396268128,38.55774299199877);(-122.80354226464615,38.55782408063037);(-122.80351849255295,38.55790799884954);(-122.8034838398307,38.557989048944684);(-122.80344655203535,38.5580195450449);(-122.80340054458753,38.558055515905735);(-122.80331367928818,38.55806693101072);(-122.80323171464826,38.55806688384854);(-122.80315137339416,38.55806674878323) l(r:p10udt5780-p10udt5797);(-122.80341658161856,38.55725245165967);(-122.80345177156919,38.55733727914643);(-122.8035077606244,38.5574054390695);(-122.80354230295693,38.55746906153877);(-122.80355452681991,38.55753571627069) l(r:p10udt5785-p10udt5797);(-122.8032934607816,38.55710747683713);(-122.80323663890712,38.557044758906244);(-122.80318880332392,38.55696734411866);(-122.80313281490858,38.55689918403965);(-122.80307615675673,38.556827738568074);(-122.80301896788325,38.55675554611127);(-122.80289106196842,38.55672351836805);(-122.80279909737555,38.5567774356754);(-122.80271863440204,38.5568223602621);(-122.80262669419758,38.55686726544353);(-122.8025462310169,38.556912189910506);(-122.8024542905911,38.556957094955266);(-122.80236235005111,38.55700199992717);(-122.80228188655614,38.557046924210574);(-122.80218994579481,38.55709182904578);(-122.8022471094118,38.55717303389012);(-122.80232497159254,38.55723985440999);(-122.80238270931108,38.55731267897763);(-122.80244017245721,38.5573942839683);(-122.80248731637683,38.557470836381356) l(r:p10udt5797-p10udt5824);(-122.80322942650344,38.55657894366284);(-122.80314955075988,38.55661462153243);(-122.80306911277287,38.5566505343729);(-122.80297663543831,38.55668666286809);(-122.80304820469965,38.55674126620147);(-122.80310539359118,38.55681345864421);(-122.80316258259691,38.556885651058444);(-122.80319907978516,38.556963331092035);(-122.8032654837938,38.55703001651453);(-122.8033219136769,38.5570922720033);(-122.80336039584991,38.55717490916067) l(r:p10udt5824-p10udt5874);(-122.80471697072518,38.55633906105942);(-122.80471675129161,38.55642016897616);(-122.8045904792214,38.55642897030559);(-122.80448718565896,38.55642879784514);(-122.80437241503503,38.55642860611552);(-122.8042703646161,38.55643739245238);(-122.80416582791472,38.55642826071866);(-122.80405103282918,38.556437080664296);(-122.80394773925846,38.55643690772784);(-122.80384444568861,38.556436734700256);(-122.80374115211966,38.55643656158151);(-122.80368599360692,38.55644530612285);(-122.80361674253878,38.556454254395625);(-122.80354957456281,38.5564718259156);(-122.80349078537304,38.55648102674);(-122.80340067529097,38.5565075127468);(-122.80331623066894,38.55653498080181) l(r:p10udt5873-p10udt5874);(-122.80469445554456,38.55617680693099);(-122.80468319799165,38.556095679863546);(-122.80467200796696,38.55601554811905);(-122.80464992023269,38.55597280287639);(-122.8046492059768,38.555933406571576) l(r:p10udt3154-p10udt5874);(-122.80582997779707,38.556440044713646);(-122.80571520714611,38.556439854300386);(-122.80561191356115,38.55643968283226);(-122.80550866854249,38.556421487290805);(-122.80540537498507,38.556421315640506);(-122.80531355849035,38.55642116298596);(-122.80521026493462,38.55642099116352);(-122.80510694704694,38.556429831240834);(-122.80500365348006,38.55642965923606);(-122.80490035991407,38.55642948714016);(-122.80479706634897,38.556429314953114);(-122.80469372401585,38.55644716665622);(-122.80465958548362,38.55633896532149);(-122.80469423608699,38.556257914849866) l(r:p10udt3148-p10udt3154);(-122.80675930209331,38.55599552160557);(-122.80680465137806,38.5560491408896);(-122.80680634847455,38.55613525095523);(-122.80679467848202,38.55620732795227);(-122.80679448550616,38.556279423888824);(-122.80679429252962,38.5563515198245);(-122.80679409955239,38.55642361575928);(-122.80669080598406,38.556423445243134);(-122.80657603535364,38.55642325567389);(-122.80647274178719,38.55642308496534);(-122.80636942404637,38.55643192615724);(-122.80625465340465,38.556431736272856);(-122.80615135982802,38.55643156528073);(-122.80603658918834,38.556431375182584);(-122.80593331984323,38.55642219200672) l(r:p10udt3148-p10udt3164);(-122.80728977759368,38.556432107345024);(-122.8072072497637,38.55643330890445);(-122.80710398026254,38.55642412676085);(-122.80700068669162,38.556423956518124);(-122.80689739312156,38.556423786184276);(-122.80679407543018,38.55643262775106);(-122.8067828154779,38.556351500882805);(-122.80678300846591,38.55627940494719);(-122.80678320145323,38.55620730901069);(-122.80677191742255,38.556135194130626);(-122.80677213454456,38.55605408620002);(-122.80673019965539,38.55600994273647);(-122.80670358624916,38.555936816619464) l(r:p10udt3164-p10udt3383);(-122.80727938268535,38.5564359275297);(-122.80739736891346,38.55638050107763);(-122.80752976672606,38.55638881644);(-122.80765698004339,38.556407157935745);(-122.8078233787769,38.55653609384834);(-122.80801050051895,38.5564887011493);(-122.80819413371015,38.556489002156475) l(r:p10udt3153-p10udt3164);(-122.80795613304568,38.55583067850944);(-122.80784009578319,38.55583054322248);(-122.80773680305724,38.55583037363143);(-122.8076220333627,38.55583018509005);(-122.80751998417372,38.55583897426377);(-122.80741542387118,38.555838857425066);(-122.80741508725241,38.55596502532603);(-122.80740322552907,38.55610919832874);(-122.80740286483969,38.55624437821618);(-122.80742543421779,38.556388607853435);(-122.80733101214028,38.55645674304646);(-122.8074019751289,38.55657782192512) l(r:p10udt3153-p10udt3169);(-122.80804694485545,38.55573175020323);(-122.80804718450676,38.5556416302673);(-122.808047424157,38.555551510329984);(-122.8080591167538,38.55547042120252);(-122.80804787948954,38.55538028244523);(-122.80804811913667,38.55529016250388);(-122.80805983565223,38.555200061378265) l(r:p10udt3167-p10udt3170);(-122.8091733779334,38.55509373735386);(-122.80905972767016,38.55510251935661);(-122.80894381701592,38.55510237497623);(-122.80884054919082,38.555093194366755);(-122.80872687500951,38.55511098804114);(-122.80861098823794,38.5551018313364) l(r:p10udt3167-p10udt3168);(-122.81005695339041,38.55514924645979);(-122.81004575754038,38.55506911520096);(-122.80995385143875,38.55507698286333);(-122.80983910666811,38.555067784503);(-122.80972543276897,38.555085579147786);(-122.80962099899018,38.55508545409065);(-122.80950623046742,38.55508526739946);(-122.80939258025664,38.55509404972548);(-122.80927669342508,38.55508489367954) l(r:p10udt3168-p10udt3268);(-122.81065420646735,38.556504188491346);(-122.81073406386143,38.556441703375626);(-122.81080249176875,38.55637923727431);(-122.81089169512185,38.55632216213016);(-122.81082299815675,38.55625896691457);(-122.81074282428227,38.55619575310488);(-122.81067412756774,38.55613255780118);(-122.81060543097342,38.55606936245681);(-122.81054818784551,38.55601519763969);(-122.81049092114321,38.55597004478873);(-122.8103992235536,38.55592483615204);(-122.81030750239593,38.555888639437946);(-122.81022728199824,38.55584344926244);(-122.81012406035725,38.555816245794205);(-122.81005564911844,38.55564490619007);(-122.81006774015144,38.555579365778286);(-122.81006752917769,38.555491720898374);(-122.81005633326438,38.55541158964507);(-122.81005650282736,38.55532047437138);(-122.81006819312952,38.55523938503417) l(r:p10udt3274-p10udt3277);(-122.8115262978042,38.55503446475071);(-122.81164108977548,38.55502563746488);(-122.81175585821761,38.555025822062966);(-122.81185914981641,38.555025988105044);(-122.81197389478622,38.55503518448603);(-122.81207720986116,38.555026338339054);(-122.81219197830725,38.55502652250961);(-122.81229524647547,38.555035700163735) l(r:p10udt3277-p10udt3365);(-122.81150297132822,38.55560730907023);(-122.8115135994973,38.55552911095982);(-122.81152528341767,38.5554569109093);(-122.8115254271794,38.55536790860876);(-122.81152563895432,38.55528680064504);(-122.8115258507284,38.5552056926802);(-122.81153753936145,38.5551246031904) l(r:p10udt3268-p10udt3365);(-122.8106467552334,38.556497333994876);(-122.81072596505818,38.556435317813076);(-122.81079379310958,38.556373358263194);(-122.81088359631798,38.556315776578394);(-122.81094922199097,38.55626818284816);(-122.81101012700368,38.556207836521565);(-122.8110664505555,38.55614597753744);(-122.81113668089061,38.556090884330445);(-122.81119300424255,38.5560290252837);(-122.81125105438468,38.555974515469);(-122.81129636914274,38.55592128670926);(-122.81134241841154,38.55586728872861);(-122.81138652699549,38.55581828657916) l(r:p10udt4859-p10udt4915);(-122.81047542150911,38.557502065061925);(-122.81046358566469,38.55758514459737);(-122.8104520410387,38.557664243752974) l(r:p10udt3268-p10udt4859);(-122.81052084677917,38.55663383653318);(-122.81043911764851,38.55668875390657);(-122.81037076051933,38.556742245415144);(-122.8102821560994,38.55679881361174);(-122.81032746750601,38.55697779081011);(-122.81038268697036,38.55703706319226);(-122.81042908542645,38.557108144049046);(-122.81046358589722,38.55718993715095);(-122.81047586536971,38.557265517376386);(-122.8104871658304,38.55734688913145);(-122.8104640607799,38.55743094563813) l(r:p10udt3266-p10udt3273);(-122.81603283055357,38.555874975935424);(-122.81608966265529,38.55593768769363);(-122.81613751141845,38.55601509725651);(-122.8161937100407,38.55609263367093);(-122.81623921303402,38.556164431199115);(-122.81625160143165,38.55622107157709);(-122.8162739885518,38.55627381062483);(-122.81626281402694,38.55635874645073);(-122.81626268029703,38.55643885932302);(-122.81625099675149,38.55651994927904) l(r:p10udt3359-p10udt3370);(-122.81405155228852,38.55490332242803);(-122.8139367840261,38.55490314008097);(-122.81383346934874,38.55491198787022);(-122.81371872433036,38.55490279331158) l(r:p10udt3359-p10udt3360);(-122.81469430084641,38.55488631749591);(-122.81459100943073,38.55488615395576);(-122.81447621803031,38.554894984135764);(-122.81437292660353,38.554894820403184);(-122.81425927726548,38.55490360745624);(-122.81415486692751,38.554894474446215) l(r:p10udt3360-p10udt3371);(-122.8152858665193,38.55482279826995);(-122.81519939647028,38.55484205572079);(-122.81511029691063,38.554868682068474);(-122.81501843615098,38.55488656102717);(-122.81491236050442,38.55488666244805);(-122.81479761538456,38.554877468946586) l(r:p10udt3374-p10udt3379);(-122.81132619208805,38.55255583247503);(-122.8111997625732,38.552627724958704);(-122.81107330922201,38.55270862930413) l(r:p10udt3373-p10udt3374);(-122.81187893174763,38.55285988857664);(-122.81182119275267,38.55279618029372);(-122.81176185076849,38.552727762489134);(-122.8116735482654,38.552670908684654);(-122.81159337761571,38.552607695426865);(-122.81151320710642,38.55254448211385);(-122.81145264488859,38.552474927852955) l(r:p10udt3373-p10udt3376);(-122.81201157563446,38.552966250625886);(-122.81205794105227,38.55303017624767);(-122.81210520689712,38.55308879556323);(-122.812183073841,38.553155609585396);(-122.81224044967384,38.55321895496075);(-122.81230852142345,38.553281521557736);(-122.81237765985246,38.55335418641062);(-122.81243503601353,38.553417531689306);(-122.81250355111865,38.55348956865194);(-122.81257464520714,38.55351311378625) l(r:p10udt3373-p10udt3375);(-122.8129849539577,38.552778515787985);(-122.8128634759861,38.55277458876501);(-122.81281527856594,38.55283382208143);(-122.81271404785431,38.552864470193356);(-122.81261066577117,38.55290035291453);(-122.81251281320753,38.55295313437542);(-122.81240851753464,38.55298937282004);(-122.81231708350215,38.55302509973266);(-122.8122132291383,38.55306115603692);(-122.81210984652597,38.55309703831329);(-122.81203030192464,38.55304614182835);(-122.81198227106127,38.55298038415261);(-122.81194500491166,38.552908297589106) l(r:p10udt3363-p10udt3366);(-122.81407306737749,38.55367952214531);(-122.81415307279049,38.55372458559443);(-122.81421360135957,38.553808746758314);(-122.81426075545875,38.55388529450296);(-122.8143276978228,38.55395272061152);(-122.8143848958201,38.55402490760169);(-122.81444209393158,38.554097094563296);(-122.81450102436288,38.55417406061055) l(r:p10udt3366-p10udt3377);(-122.8135454292074,38.55320553678759);(-122.81361677368193,38.55328354213454);(-122.81367681795605,38.553352656439955);(-122.81367658535228,38.55344277644052);(-122.81378879096295,38.55354569218565);(-122.81388646678892,38.55356974126854);(-122.81397448910673,38.55363250295688) l(r:p10udt3372-p10udt3377);(-122.81355415800586,38.55319968573292);(-122.81362542600112,38.553277621440934);(-122.8136855467617,38.553346805375654);(-122.81368806194614,38.553442794705205);(-122.81366461728035,38.55360596926927);(-122.81364120237828,38.55367921881967);(-122.81361806297188,38.55375127827606);(-122.81358379517332,38.55382113426764);(-122.81357178401967,38.55389539717213);(-122.81352501753896,38.55397790541151);(-122.8134789012536,38.55405894027216);(-122.81343378348332,38.55413631657023) l(r:p10udt3375-p10udt3377);(-122.81316969304653,38.552885861859686);(-122.81324173378154,38.55295543354076);(-122.81331960163708,38.55302224681082);(-122.81339871066466,38.55308449194139);(-122.81345927527055,38.55315404519433) l(r:p10udt3380-p10udt3386);(-122.8118360645588,38.551733410457175);(-122.81177872129759,38.551679150452486);(-122.8117209833313,38.55161544210988);(-122.81165004855791,38.55159206578996);(-122.8115665861158,38.551582572697704);(-122.81152191122345,38.551650708180084);(-122.81145462198023,38.55171791977578) l(r:p10udt3386-p10udt3389);(-122.81227069067825,38.55212116814217);(-122.81219158367864,38.55205892224423);(-122.81213102121121,38.551989368327845);(-122.81206232726572,38.55192617380035);(-122.81198446175532,38.551859359687455);(-122.81191348688303,38.55179075418472) l(r:p10udt3381-p10udt3389);(-122.81263481167043,38.55244077761855);(-122.81256611685484,38.5523775833893);(-122.81247781414307,38.552320730187525);(-122.81240852240539,38.55225702739199);(-122.81234855673573,38.552187982063074) l(r:p10udt3375-p10udt3381);(-122.81297682234639,38.55278487527122);(-122.81292104525504,38.55270258446898);(-122.81285234993999,38.55263939040926);(-122.8127640466825,38.552582537424534);(-122.81270348322514,38.55251298380803) l(r:p10udt3375-p10udt3378);(-122.81395608965133,38.552953461144966);(-122.81381843181865,38.55281778925634);(-122.81366987457235,38.55268264482327);(-122.81352102961198,38.552547227257406);(-122.81338337337048,38.552411554851794);(-122.81331854956116,38.55245821531791);(-122.81323887410899,38.55251166952577);(-122.81315839904437,38.552565613350325);(-122.81307714769845,38.55261103478893);(-122.81300959588815,38.55266403821924);(-122.81304712311925,38.552765870135566);(-122.8130813892375,38.55282900911534) l(r:p10udt3275-p10udt3279);(-122.81524697353886,38.54970526858883);(-122.81507485661177,38.54969598480813);(-122.81494862062178,38.54969578534624);(-122.81482238463303,38.54969558574822);(-122.81483425354907,38.549542399788926);(-122.81484612241341,38.549389213824306);(-122.8147198175809,38.54941605013738);(-122.81442155858983,38.54937051752758);(-122.8142496048701,38.54929814848874) l(r:p10udt3275-p10udt3276);(-122.81614003612451,38.550517758309404);(-122.8161404033311,38.55037356621549);(-122.8161522466197,38.55022939213991);(-122.81615263674668,38.55007618803235);(-122.81615300392231,38.549931995927444);(-122.81616484710976,38.549787821839445);(-122.81615362352525,38.54968867174234);(-122.81598148354617,38.549688401301275);(-122.81577491557432,38.54968807643791);(-122.81560275258347,38.54969681744679);(-122.81541913659082,38.549696528103304) l(r:p10udt3276-p10udt3284);(-122.81550877987691,38.55054380137987);(-122.8157268494305,38.55053513277704);(-122.81593344280162,38.55052644572556) l(r:p10udt3284-p10udt3391);(-122.81372814231227,38.55125293307892);(-122.81385459014352,38.551172025831875);(-122.8140270122166,38.551064155363925);(-122.81419943377479,38.55095628463875);(-122.81437185481813,38.55084841365635);(-122.81452134616967,38.550731494051625);(-122.814670860171,38.55060556224758);(-122.81488899932559,38.550569859191256);(-122.81509559289093,38.55056117361883);(-122.81530218640805,38.55055248768169) l(r:p10udt3387-p10udt3388);(-122.81246811690183,38.55163579968108);(-122.81231895876047,38.551509151882875);(-122.81217852099107,38.55137662585225);(-122.81202968151855,38.55124120637395);(-122.81187239344077,38.5511209174212);(-122.81173195724561,38.550988390850094) l(r:p10udt3387-p10udt3391);(-122.81348674535381,38.55140575343836);(-122.81334882041884,38.551486641986735);(-122.8131533737529,38.55162151082126);(-122.81293506711278,38.551720294643275);(-122.81279767844065,38.551593906483006);(-122.81263658925316,38.55175586550248) l(r:p10udt3378-p10udt3391);(-122.81394740176177,38.55295934959723);(-122.81380945566198,38.55282340475667);(-122.81366118669219,38.55268853325459);(-122.81351234173606,38.55255311567784);(-122.8133743972308,38.55241717031921);(-122.81323202923167,38.55227050315349);(-122.81308316260804,38.55214409702732);(-122.81292587080817,38.5520238094991);(-122.81277700522915,38.55189740298092);(-122.81292560880985,38.55171519057628);(-122.81314764389435,38.551613702420354);(-122.81334124493362,38.55147987234017);(-122.81347987821852,38.551398532865896);(-122.81361319372292,38.55132484645552) l(r:p10udt3361-p10udt3378);(-122.8146773519148,38.55363951994045);(-122.8145396914201,38.55350384890915);(-122.81439113141325,38.553368705400274);(-122.8142534719722,38.55323303402879);(-122.81411362415699,38.553082989688626) l(r:p10udt3361-p10udt3371);(-122.815445533967,38.55471795414656);(-122.8155259867043,38.55467302077049);(-122.81561850497565,38.55462779754601);(-122.8156983686552,38.55458317191875);(-122.81555616796555,38.55445510083203);(-122.8154187925502,38.55431970378289);(-122.81526994093704,38.554184288461926);(-122.81511240195496,38.55405476148746);(-122.81497454395871,38.553909856074654);(-122.8148348892107,38.55376904750533) l(r:p10udt3273-p10udt3371);(-122.81604257518741,38.55587021487979);(-122.81609901521317,38.55593246424752);(-122.81622077101643,38.55596142486548);(-122.81629099005754,38.55590936338582);(-122.81637146652777,38.55585541744225);(-122.81645194287776,38.55580147144257);(-122.81653984791993,38.555763391508556);(-122.81662032404164,38.555709445391706);(-122.81670080004317,38.55565549921874);(-122.81657487422564,38.555529133510376);(-122.81644897176461,38.555393755666536);(-122.81632306977532,38.55525837768533);(-122.81620864512044,38.55512301758502);(-122.8160827440531,38.554987639341384);(-122.81594778184957,38.55486682657917);(-122.8158216517953,38.55473120925023);(-122.81568648359678,38.55460114538341);(-122.81563787217188,38.55465013702719);(-122.81554476503247,38.554695668134826);(-122.8154654899858,38.55473998578823);(-122.81537173313049,38.55477023135221) l(r:p10udt3261-p10udt3273);(-122.81546287958705,38.55552744108492);(-122.8155661488992,38.555536615855814);(-122.81563365112191,38.55557271519584);(-122.8157139436427,38.55559086568344);(-122.81578909866407,38.555619533634534);(-122.81585786835234,38.55565568995929);(-122.81591842845376,38.555730603567056);(-122.81596588481743,38.55580755081568) l(r:p10udt3261-p10udt3267);(-122.81503569848687,38.55552676694403);(-122.81515171222559,38.555535907095184);(-122.81525378314907,38.55551809926748);(-122.815358319976,38.55552722110192) l(r:p10udt3258-p10udt3267);(-122.81452045806718,38.55554392070929);(-122.81462375042364,38.555544084314015);(-122.81472704278096,38.5555442478276);(-122.8148303351391,38.55554441125007);(-122.81493362749808,38.5555445745814) l(r:p10udt3258-p10udt3271);(-122.81452048122398,38.555534908712104);(-122.81452022649847,38.555634040680346);(-122.81453149504142,38.555715166836016);(-122.81455417797594,38.55578618123243);(-122.8145540553551,38.555868407144246) l(r:p10udt3258-p10udt3270);(-122.81385477299457,38.555551876178406);(-122.81396951906228,38.55556107060533);(-122.81407281144007,38.55556123469621);(-122.81418760394811,38.55555240491554);(-122.81428965194374,38.55554360992619);(-122.81440566561204,38.555552750816474) l(r:p10udt3257-p10udt3270);(-122.81360086097456,38.55610120620872);(-122.81361262997079,38.55601020788627);(-122.81361280340217,38.55592098456211);(-122.81361303610615,38.55583086460011);(-122.81362480504157,38.55573986627207);(-122.81363645540073,38.55565066121436);(-122.81362521114242,38.55556052297751);(-122.81375145737861,38.55556072389196) l(r:p10udt3257-p10udt3278);(-122.81304858706694,38.55663203548705);(-122.81313900346218,38.556623237400515);(-122.81324372109408,38.556623334907194);(-122.8133355145827,38.556632493337766);(-122.81342735468333,38.556623627706514);(-122.81350769437945,38.55662375571565);(-122.81357885449455,38.55653759270196);(-122.81358853555705,38.55645175912519);(-122.81358870902147,38.556362535807885);(-122.81358891848551,38.55628142784841);(-122.8136121052839,38.5561913444397) l(r:p10udt3262-p10udt3263);(-122.81271734117958,38.5560186878362);(-122.81271757500238,38.55592856788032);(-122.81272928579826,38.5558384662827);(-122.81272951960462,38.55574834632399);(-122.8127412303554,38.55565824472228);(-122.81272998721424,38.55556810640238);(-122.8127187987319,38.55547886489835);(-122.81271897791721,38.55538784811585);(-122.81273068862083,38.55529774650952) l(r:p10udt3262-p10udt3278);(-122.81284319673485,38.55665868828942);(-122.81275011232118,38.55665859456003);(-122.8127503694871,38.55655946261918);(-122.81273912619875,38.55646932431366);(-122.81273935999812,38.556379204364646);(-122.81272817138256,38.55628996287355);(-122.81272835056228,38.556198946103656);(-122.81274006138993,38.556108844509204) l(r:p10udt3272-p10udt3280);(-122.81531001419006,38.55646439636362);(-122.81534430708763,38.55651852265434);(-122.81538887576812,38.55656863483584);(-122.81538989273598,38.5566447630015);(-122.81538970834222,38.55671685896842);(-122.81535511562056,38.556779888637706) l(r:p10udt3260-p10udt3280);(-122.81484507209653,38.55652793356815);(-122.81492550412082,38.55649201262054);(-122.81501156404273,38.55648194921086);(-122.8150994416666,38.55644661371184);(-122.81519132746718,38.5564197227031);(-122.81527572134378,38.55641027006251) l(r:p10udt3260-p10udt3283);(-122.81448493037162,38.55704572679757);(-122.81440597159559,38.55699250695049);(-122.81441378282268,38.556859508444994);(-122.81449428491399,38.55679655181157);(-122.81456668768811,38.55672720982431);(-122.81463511255943,38.55666474147818);(-122.8147047138403,38.556610271952984);(-122.81477031414455,38.55657168807321) l(r:p10udt4897-p10udt4944);(-122.81527958171063,38.55759265471318);(-122.81537588000221,38.55763606436859);(-122.81548376561462,38.557664047286096);(-122.81555944710367,38.55766338981319);(-122.8156283107006,38.557663498286985);(-122.81571025145348,38.557672729913314);(-122.81582228208252,38.55766384833757);(-122.81592547501558,38.55765500900427);(-122.81603003799366,38.557655118248825) l(r:p10udt3283-p10udt4944);(-122.81465004868929,38.55715043735052);(-122.81473025018556,38.557204636490596);(-122.81480019384689,38.55726880887145);(-122.81487166639612,38.55732885905661);(-122.81494036793003,38.55739205189629);(-122.81502805673097,38.55743942022203);(-122.81510748489664,38.55748411490149);(-122.81518099731596,38.55754563655689) l(r:p10udt3278-p10udt3283);(-122.81304861040843,38.55662302349231);(-122.81313760305345,38.55661429272521);(-122.81324374441118,38.55661432291234);(-122.81333553788836,38.55662348134286);(-122.8134273779775,38.55661461571156);(-122.81350771766361,38.55661474372065);(-122.81366995011862,38.55664212871712);(-122.81375026658256,38.55665126855491);(-122.81381745984181,38.55666930892428);(-122.81389772988405,38.55669647265112);(-122.81397802321243,38.55671462432787);(-122.81405196973601,38.55673371729424);(-122.81413372987048,38.556779634504124);(-122.81420315210858,38.55682521501918);(-122.81428270061669,38.556869991242806);(-122.81435212303586,38.556915571669265);(-122.81443091679652,38.556973974144974);(-122.81450863320954,38.55702621451485);(-122.8145623604879,38.55710306874079) l(r:p10udt4953-p10udt4961);(-122.812450653875,38.55706365662185) l(r:p10udt4899-p10udt4953);(-122.81133705657638,38.55722535835001);(-122.81132574428217,38.55716225590244);(-122.81131443200753,38.55709915345314);(-122.81155481451685,38.557080253329964);(-122.81177345393559,38.5570805938241);(-122.812002447658,38.557071960994705);(-122.81223201449917,38.5570633173926) l(r:p10udt4902-p10udt4942);(-122.81226969491134,38.559515589992394);(-122.81229374871458,38.559572061623406);(-122.81232680149549,38.55962382588438);(-122.81239331911151,38.559693274889575);(-122.81246108781797,38.559755552197366);(-122.81252383118606,38.559821454755976) l(r:p10udt4902-p10udt4956);(-122.81225128687792,38.55868619365066);(-122.81225111149767,38.558766610436585);(-122.81223934032174,38.558857608573746);(-122.81222771114848,38.55893780144701);(-122.81223897761441,38.55901892777225);(-122.81222720638074,38.55910992590412);(-122.81223853216567,38.559190155591885);(-122.81223834460718,38.55926225151443);(-122.81223815704801,38.55933434743611);(-122.8122379929332,38.55939743136683);(-122.81222632782162,38.559469508877534) l(r:p10udt4956-p10udt4976);(-122.81226272869974,38.55868690319112);(-122.81226258893706,38.55876662884314);(-122.81225075848253,38.55885852361676);(-122.81223918861511,38.55893781985594);(-122.81225045509393,38.5590189461801);(-122.81223862458125,38.559110840948385);(-122.81234574314738,38.55917693032091);(-122.81244517412674,38.55917246278216);(-122.81255768823183,38.559163720410375);(-122.8126747242102,38.55917283032239);(-122.81277553326193,38.55915507777261);(-122.81289284351949,38.5591551550872);(-122.8130076185361,38.5591553384746);(-122.81312125138386,38.55915556465118);(-122.81311110273813,38.55908340749959);(-122.81311131275923,38.559002299580115) l(r:p10udt4802-p10udt4956);(-122.81130993794166,38.55877410925952);(-122.81132165097047,38.55868400785225);(-122.81132186299949,38.55860289993555);(-122.81132207502769,38.55852179201772);(-122.81132228705502,38.55844068409878);(-122.81146003924063,38.55843189400334);(-122.81157478957067,38.55844109078379);(-122.8118043373166,38.55844146002483);(-122.81203445819084,38.55844184097996);(-122.81226340938002,38.558451209148174) l(r:p10udt4826-p10udt4856);(-122.81043400733935,38.56016054636292);(-122.81042229295551,38.56025064765515);(-122.81043353391901,38.56034078611893);(-122.81043329720724,38.560430905994856);(-122.81042160645,38.560511995295364) l(r:p10udt4856-p10udt4922);(-122.81044548499922,38.56016056494819);(-122.8103204510113,38.56017833135796);(-122.81021588440736,38.560178217004434);(-122.8101011077825,38.56017803087583);(-122.80999783254643,38.56016885127619);(-122.80989575376326,38.56018665448698);(-122.8097911871495,38.56018653975408);(-122.80967641051423,38.56018635320904);(-122.80957435540644,38.56019514415181);(-122.80946981257344,38.56018601714442) l(r:p10udt4824-p10udt4856);(-122.81043528555311,38.55967389899386);(-122.81043507251964,38.55975500689151);(-122.8104348594853,38.559836114788055);(-122.81042316882892,38.55991720409721);(-122.81043443341404,38.55999833057774);(-122.81042274273014,38.56007941988455) l(r:p10udt4824-p10udt4861);(-122.8104368477725,38.559079107709856);(-122.81043661107556,38.55916922760527);(-122.81044787556255,38.559250354094644);(-122.8104476388779,38.55934047398746);(-122.81044740219224,38.55943059387889);(-122.81043571161752,38.55951168319515);(-122.81042402101617,38.55959277250904) l(r:p10udt4861-p10udt4931);(-122.8104483252604,38.55907912629442);(-122.8104480885778,38.5591692461899);(-122.81045935307768,38.559250372678186);(-122.81045911640739,38.55934049257107);(-122.81045887973603,38.559430612462556);(-122.81031076647187,38.5594483518908);(-122.8101948488309,38.5594482087313);(-122.81009155091347,38.55944804120471);(-122.80997677545064,38.55944785495714);(-122.80986197624712,38.55945668058581);(-122.8097472007721,38.55945649411315);(-122.80963354370444,38.55946527664891);(-122.8095176498252,38.55945612083023) l(r:p10udt4861-p10udt4964);(-122.81021972337072,38.55871827479831);(-122.81032302024448,38.558718442209134);(-122.81043421292821,38.558802370132554);(-122.81043732116322,38.558898867914856);(-122.81042560699481,38.55898896922743) l(r:p10udt4946-p10udt4964);(-122.81000284864024,38.558744903983225);(-122.8098868304184,38.55873575872312);(-122.80978350977152,38.55874460291713);(-122.80968021286355,38.55874443503015);(-122.80956655690972,38.558753217504815);(-122.80946211782513,38.55875309229238);(-122.80934736727706,38.55874389344099) l(r:p10udt4802-p10udt4964);(-122.81129846050172,38.55877409075955);(-122.81121022170613,38.558690200674704);(-122.81110467487669,38.55870163728205);(-122.81098987699382,38.55871046402126);(-122.81088546157669,38.55870132808835);(-122.81077178220505,38.55871912372409);(-122.81065586573247,38.55871898102406);(-122.81054220993353,38.55872776444639);(-122.81042629344846,38.558727621519);(-122.81032548442477,38.55874537204714);(-122.81021965228133,38.55874531076841);(-122.81010492535675,38.558727100669365) l(r:p10udt4784-p10udt4785);(-122.81128142184531,38.56090090163445);(-122.81129318450493,38.56081881715683);(-122.81131653035587,38.560727962341254);(-122.8113509481098,38.5606486769834);(-122.81137462995149,38.56055600530027);(-122.8114221320498,38.56049960028878);(-122.81148039648886,38.560444851589345);(-122.8115501069434,38.560390288566005);(-122.81162721358396,38.56034271297314) l(r:p10udt4785-p10udt4805);(-122.81130280615761,38.561523662543394);(-122.81131444147574,38.56144167634884);(-122.81130319924586,38.5613515379834);(-122.81129201166115,38.56126229643358);(-122.81129219269016,38.561171279747995);(-122.81128100514609,38.56108203819448);(-122.81129266398008,38.56099104000868) l(r:p10udt5178-p10udt5251);(-122.81962994934814,38.56383395815623);(-122.81977918910698,38.5638251759875);(-122.81992840634196,38.563825405615546);(-122.82004327872734,38.56378953417428);(-122.82016953940398,38.56378972820233);(-122.82030721100242,38.563816975675955);(-122.82036444520453,38.56388014766911) l(r:p10udt3106-p10udt5178);(-122.81963880745681,38.564898138670955);(-122.81963903998157,38.56478924638046);(-122.81963935533365,38.56466307858237);(-122.81962819232186,38.56453689309602);(-122.81962848516382,38.564419737278605);(-122.81961727715193,38.56431157575854);(-122.81959461343311,38.564213224512706);(-122.81945152473082,38.56415703867724);(-122.8194573908801,38.56399537933469);(-122.81946923130234,38.56384272243374) l(r:p10udt3106-p10udt5530)_s0 l(r:p10udt3106-p10udt5530)_s1 l(r:p10udt4795-p10udt5530)_s0 l(r:p10udt4795-p10udt5530)_s1 l(r:p10udt5540-p10udt5556);(-122.81386865411444,38.56797046759837);(-122.81385694270999,38.56806056912144);(-122.81386818914193,38.56815070714876);(-122.8138564184254,38.568241705303606);(-122.81384470696024,38.56833180682113);(-122.81384453372321,38.568421029956696);(-122.81383276293134,38.56851202810476);(-122.81382105139139,38.56860212961556);(-122.81380933982173,38.56869223112374);(-122.8137976875049,38.568781435994715) l(r:p10udt5538-p10udt5556);(-122.81393913107081,38.5673487505972);(-122.81392736057865,38.56743974877222);(-122.8139156493363,38.567529850309775);(-122.81390399734443,38.567619055209896);(-122.8138922860429,38.56770915674218);(-122.81388057471167,38.567799258271855);(-122.81388034224226,38.56788937805044) l(r:p10udt4795-p10udt5538);(-122.81413999166237,38.565105974093925);(-122.81413970026418,38.56519699055072);(-122.81412810821304,38.565285298875125);(-122.81410491909017,38.56537538224006);(-122.81409320841503,38.56546548382861);(-122.81409297623057,38.565555603644334);(-122.81408128873038,38.56563669324744);(-122.81406957798198,38.56572679482941);(-122.81406934576583,38.565816914640976);(-122.81405763497239,38.56590701621886);(-122.8140459241492,38.565997117794105);(-122.81403421329635,38.56608721936674);(-122.81403398103305,38.56617733917255);(-122.81402227013511,38.56626744074108);(-122.81401055920746,38.566357542306996);(-122.81401032691237,38.5664476621085);(-122.81399861593965,38.56653776367032);(-122.81398690493725,38.5666278652295);(-122.81398667261037,38.56671798502672);(-122.81397496156288,38.5668080865818);(-122.8139746699409,38.566899103011124);(-122.81397449687525,38.5669883261692);(-122.81396272648831,38.56707932435364);(-122.81395101535044,38.56716942590054);(-122.8139278846711,38.567258612564416) l(r:p10udt4782-p10udt4795);(-122.8142585237621,38.563672354288244);(-122.81425829179898,38.56376247413248);(-122.81425808303126,38.563843581991115);(-122.81424631353096,38.56393458025526);(-122.81423460327343,38.564024681881214);(-122.81422295225939,38.56411388686903);(-122.81421124194271,38.56420398848972);(-122.81419953159632,38.56429409010779);(-122.81419929955436,38.56438420994215);(-122.81418758916291,38.56447431155612);(-122.81417587874175,38.564564413167474);(-122.81416416829093,38.56465451477619);(-122.81416393620181,38.56474463460478);(-122.81416364483712,38.56483565106735);(-122.8141519343256,38.5649257526705);(-122.81414028305937,38.5650149576357) l(r:p10udt4782-p10udt4862);(-122.8142359849029,38.56351010213854);(-122.81424775434485,38.56341910386868);(-122.81275553165867,38.56341762327544) l(r:p10udt4782-p10udt4850);(-122.81427239099533,38.562744138022595);(-122.81427218225106,38.562825245895446);(-122.81427195031202,38.562915365752836);(-122.81426026345257,38.56299645541204);(-122.8142600314972,38.56308657526673);(-122.81427130087715,38.56316770134612);(-122.81427109212852,38.56324880921307);(-122.81427086018458,38.56333892906391);(-122.81428212961497,38.563420055138906);(-122.81427030094417,38.56351195004794);(-122.81424725432002,38.56359122821446) l(r:p10udt4850-p10udt4943);(-122.81230001429273,38.56202904231129);(-122.81212772761482,38.56207382605963);(-122.81195544072403,38.56211860955351);(-122.81184068449856,38.562109413137);(-122.81173738276222,38.562109247053066);(-122.81162262658029,38.56210005042289);(-122.8115078468896,38.56209986566579);(-122.81140456872025,38.562090687302735);(-122.81128981261159,38.56208149034645);(-122.81133600719392,38.561973420521944) l(r:p10udt4805-p10udt4943);(-122.81134819188915,38.56170307944475);(-122.8113593249907,38.56179142416491);(-122.8113477207259,38.56188331916265);(-122.8113474851427,38.561973439019525);(-122.81130069411451,38.56208435773917);(-122.81140573425502,38.562081721881974);(-122.81150913781357,38.562090910840894);(-122.81162265010441,38.56209103843732);(-122.81173854825595,38.56210028162893);(-122.81184070799546,38.56210040115133);(-122.81195660619086,38.56210964412715);(-122.81212412022101,38.562065270714);(-122.81229640688066,38.562020486970866);(-122.8124723007577,38.5619842583085) l(r:p10udt4805-p10uhs9_1247);(-122.8110426400733,38.564424217247634);(-122.81104287605785,38.564334097430184);(-122.81104317135413,38.564243080977576);(-122.8110433480238,38.56415385779111);(-122.81105506228627,38.56406375649657);(-122.81104381998554,38.56397361814647);(-122.81105551062079,38.56389252883153);(-122.8110557465848,38.56380240900582);(-122.81105598254773,38.563712289178696);(-122.81105621850962,38.5636221693502);(-122.81106793266699,38.563532068045895);(-122.81106816861244,38.56344194821454);(-122.81106840455683,38.563351828381805);(-122.81106864050017,38.56326170854767);(-122.81106887644245,38.56317158871214);(-122.81106911238368,38.56308146887522);(-122.81106934832387,38.562991349036906);(-122.81106958426298,38.5629012291972);(-122.81108127469057,38.562820139864286);(-122.81108151061333,38.562730020021874);(-122.81108174653508,38.56263990017808);(-122.81108198245575,38.56254978033287);(-122.81108221837536,38.56245966048628);(-122.81108245429394,38.562369540638315);(-122.81108269021144,38.56227942078893);(-122.81108292612791,38.56218930093816);(-122.81115188835771,38.56215336411529);(-122.81122085051895,38.56211742725139);(-122.81127711816995,38.56206647296861);(-122.81131424421955,38.56196768574202);(-122.81131328692257,38.56188326366674);(-122.81130204462761,38.56179312530784);(-122.81129096621379,38.56170567740036);(-122.81131399379487,38.561612904088335) l(r:p10udt4755-p10udt5907);(-122.8279692129142,38.556492695202444);(-122.82807250670417,38.55649284694439);(-122.82817580049493,38.556492998595196);(-122.82829052849766,38.5565111909941) l(r:p10udt4755-p10udt5103);(-122.8266493262455,38.55649976025703);(-122.82675262003839,38.55649991316355);(-122.82686739092031,38.55650008295281);(-122.82698216180319,38.55650025262953);(-122.82709693268701,38.556500422193764);(-122.82720020490878,38.55650958670731);(-122.82731497580879,38.556509756057785);(-122.82742974670974,38.55650992529576);(-122.82753304052144,38.55651007751373);(-122.82764785446152,38.5564922225336);(-122.82775114824905,38.556492374559085);(-122.82785442054455,38.55650153849568) l(r:p10udt5100-p10udt5103);(-122.8260524778602,38.55658630509693);(-122.82605249596192,38.55650788701399);(-122.82619024273104,38.55649907956981);(-122.8263050136082,38.556499249910395);(-122.82641978448633,38.55649942013845);(-122.82653453370781,38.55650860225562) l(r:p10udt5100-p10udt5122);(-122.82616885825249,38.55775352277351);(-122.82610016823246,38.55768132450342);(-122.82605160108746,38.55760311802291);(-122.82605004178666,38.55752624308231);(-122.82605023725648,38.55744513508339);(-122.82605041100679,38.5573730390834);(-122.82605060647514,38.55729193108235);(-122.82606227914344,38.557210840133216);(-122.82606255543438,38.557119823486474);(-122.8260627134843,38.55703060012422);(-122.8260629306533,38.556940480117625);(-122.82606312610456,38.55685937211051);(-122.8260633432717,38.556769252101276);(-122.82606356043786,38.55667913209064) l(r:p10udt5122-p10udt5136);(-122.82603528465272,38.55888703784093);(-122.82603548014863,38.55880592986097);(-122.82603569736541,38.558715809881924);(-122.82603591458118,38.55862568990147);(-122.82602476398203,38.55853734648752);(-122.82602484989003,38.558454444878734);(-122.82603654450152,38.5583643419503);(-122.82603676171352,38.55827422196444);(-122.82603695720353,38.55819311397597);(-122.82603717441367,38.55810299398744);(-122.82602591429459,38.558012856941744);(-122.82602613151717,38.55792273695049);(-122.82602632701662,38.55784162895718);(-122.82602654423734,38.55775150896327);(-122.82602673973514,38.55767040096758);(-122.82611862305737,38.5576435013818);(-122.82621483249659,38.557726554934796);(-122.82626513297271,38.55780954028574) l(r:p10udt5136-p10udt5177);(-122.8254973144977,38.56002267776607);(-122.82559863498946,38.5599874091711);(-122.82570202082508,38.559951515032814);(-122.82580316868511,38.55995011212066);(-122.82589496803492,38.55995926071194);(-122.82598538707228,38.5599504527148);(-122.82607867539127,38.55993249769825);(-122.8260558504444,38.55987839161533);(-122.82605602420422,38.55980629564535);(-122.82604487768536,38.55972716124915);(-122.82604493757383,38.55964406265514);(-122.82605661063899,38.55956297174015);(-122.82605678439607,38.55949087576628);(-122.8260455701227,38.55941074605311);(-122.82604569780973,38.55932864276749);(-122.82603443749461,38.55923850574105);(-122.82603463299395,38.55915739776598);(-122.82603485021451,38.55906727779236);(-122.82604654491571,38.558977174872595) l(r:p10udt5177-p10udt5233);(-122.82520534942908,38.56035476228705);(-122.82533879651375,38.560301582531295);(-122.82528672597036,38.560213771118256);(-122.82521979851768,38.560128531740084);(-122.82529353940959,38.56009418969524);(-122.82540116799987,38.5600666696133) l(r:p10udt5096-p10udt5233);(-122.82472331384807,38.56122976162939);(-122.82483134349913,38.56120211051618);(-122.8250090704131,38.560832106496925);(-122.82494042235754,38.56074188359157);(-122.82487318637585,38.56066505141155);(-122.82505071553062,38.560589689490236);(-122.82505600825591,38.560408611371784) l(r:p10udt5096-p10udt5129);(-122.82409614705402,38.56145334483753);(-122.82420417727813,38.5614256943055);(-122.82430292431263,38.56138155915452);(-122.82441818979711,38.561345551827195);(-122.82452117901266,38.561309790310084);(-122.82462920886036,38.56128213938427) l(r:p10udt5129-p10udt5253);(-122.82279939208341,38.56098070276616);(-122.82290795204068,38.5611083196877);(-122.82303387657062,38.561243690505776);(-122.82317152232902,38.56127994660633);(-122.82325164653885,38.56137018786678);(-122.8233432708837,38.561451434400254);(-122.82340048382838,38.56152361692886);(-122.82361865174718,38.56148789774206);(-122.82374462229271,38.561605243806824);(-122.82382505557979,38.56156931677887);(-122.8238998132535,38.56152555652819);(-122.82399739979536,38.561497479813) l(r:p10udt5209-p10udt5253);(-122.8220857136909,38.56128010384858);(-122.82221244039313,38.561425393719325);(-122.8222848610106,38.5615683786734);(-122.8223206186644,38.56169844685231);(-122.82232071954007,38.561795034010075);(-122.8223317976062,38.56190749051936);(-122.8224930659585,38.561792605278185);(-122.82268816858014,38.56180191297758);(-122.82275739028704,38.56165782539963);(-122.82292991266439,38.561513894072945);(-122.82279250999885,38.561378505774556);(-122.82302722319443,38.56123634706053);(-122.82289868319228,38.56111363505062);(-122.82277438101923,38.560982935101286);(-122.8229084164337,38.56091906783644) l(r:p10udt5209-p10udt5224);(-122.82062528493839,38.55979320459253);(-122.82073003205626,38.55995146531373);(-122.82085592811237,38.560095850479975);(-122.82097032463695,38.56024922994933);(-122.82109619935216,38.56040262684518);(-122.82121059687199,38.560556006074115);(-122.82134596572942,38.56069529959435);(-122.8215443395782,38.56070977488407);(-122.82167841972898,38.56085802295904);(-122.82180431926079,38.561002407091316);(-122.82192074848938,38.56115188225179) l(r:p10udt5184-p10udt5224);(-122.81992668215781,38.558962426514675);(-122.8200413839725,38.5590890278964);(-122.8201558445441,38.55921537219714);(-122.82024947527913,38.55934692253753);(-122.82038499529493,38.55949543923256);(-122.8204897638703,38.559644688180676) l(r:p10udt5184-p10udt5191);(-122.81882963140264,38.55800194142843);(-122.818898110926,38.558155251902406);(-122.81896661334311,38.55829955033785);(-122.81901218384662,38.558434801249305);(-122.81906881912475,38.558558581416776);(-122.8192421315891,38.55856134249256);(-122.81942505427345,38.558561607240335);(-122.81956071041432,38.558599480087956);(-122.81970489287075,38.55871151015177);(-122.81980308886281,38.558850574688535) l(r:p10udt5191-p10udt5220);(-122.8171906349475,38.55707917112258);(-122.81719049720166,38.55716127440554);(-122.81727086032802,38.55715238783759);(-122.8173612768738,38.55714358649117);(-122.81745311714316,38.5571347177029);(-122.81754635835505,38.55713479346567);(-122.81759952567158,38.55711749682236);(-122.81767078851985,38.557108073848646);(-122.81774156149736,38.55709904940241);(-122.81781049280627,38.557072120601944);(-122.81790242387417,38.557027203474526);(-122.81799435482779,38.556982286274284);(-122.81809735401387,38.55709960277573);(-122.81821183073123,38.55721693700772);(-122.81831483060488,38.557334253314814);(-122.81841783081293,38.55745156952974);(-122.81853228595087,38.55757791544);(-122.81863526421445,38.55770424345636);(-122.81873817494167,38.557857607367545) l(r:p10udt5696-p10udt5744);(-122.82166850472954,38.551751947568434);(-122.82171427651616,38.55180608952366);(-122.8217803553356,38.55185449300771);(-122.82186609602097,38.551869673982935);(-122.82195908557779,38.55189715628242);(-122.82204960653657,38.55191501326141);(-122.82211570411805,38.5519058325927) l(r:p10udt5744-p10udt5830);(-122.82013261369369,38.55162351997653);(-122.82023552247232,38.55163265462071);(-122.82033203262824,38.551679177697935);(-122.82161125669,38.551697788108775) l(r:p10udt5830-p10udt5845);(-122.8190867146193,38.55159478173568);(-122.8192015002245,38.55158594701575);(-122.81931624069226,38.55159513619461);(-122.81942077209244,38.55160436463468);(-122.81953426793761,38.55160448451957);(-122.81964903099184,38.5516046613666);(-122.81975231774152,38.55160482043275);(-122.81986710328378,38.551595985060096);(-122.81996173781725,38.551605281853384);(-122.8200506567631,38.551623303457355) l(r:p10udt5829-p10udt5845);(-122.81774405542704,38.551565663120144);(-122.8178588184082,38.551565841721896);(-122.81797470048961,38.551575078716525);(-122.81808832166536,38.55157521059301);(-122.81819158566873,38.55158438304112);(-122.8183063713634,38.55157554919903);(-122.81842225351943,38.551584785750826);(-122.81853587471302,38.551584917193004);(-122.81863918406748,38.55157606523577);(-122.8187539244458,38.55158525496553);(-122.8188686874645,38.551585432577426);(-122.81897192898649,38.55160361634299) l(r:p10udt5829-p10udt5852);(-122.81672232175927,38.55169924867353);(-122.81671121152267,38.55155503863764);(-122.81686042622923,38.55154626010958);(-122.81696495723597,38.551555490741485);(-122.8170784301565,38.55156462501157);(-122.81719321595163,38.55155579226113);(-122.81730797891355,38.5515559714028);(-122.81741250999315,38.55156520163525);(-122.81752600576569,38.55156532346688);(-122.81764074598088,38.55157451428728) l(r:p10udt5828-p10udt5852);(-122.81695072846581,38.55214119596478);(-122.81684492939803,38.55213190821649);(-122.81674415346465,38.5521408727943);(-122.8167216125123,38.5519786207979);(-122.81674493125676,38.55183446466532) l(r:p10udt5766-p10udt5828);(-122.81721676064072,38.5540259480054);(-122.81735937827882,38.553955628632494);(-122.8175202340164,38.553883783174754);(-122.81740837278203,38.553754486658235);(-122.8172947899237,38.553611236357995);(-122.81718999650668,38.55345288467878);(-122.8170757553189,38.5533087207201);(-122.81701686852647,38.55321373194838);(-122.81694823768696,38.55312350428105);(-122.8168567225464,38.55300620466128);(-122.81676520770277,38.55288890496835);(-122.81666221666694,38.5527715872305);(-122.81672085637369,38.55264196049155);(-122.81673172887774,38.55252767983336);(-122.81672051430401,38.552411196964066);(-122.81672090325547,38.55225799290888);(-122.81669831655566,38.55211376491848);(-122.81684757814575,38.552086962403195);(-122.81695464436265,38.55209630725032);(-122.81705406164309,38.55212333340589) l(r:p10udt5761-p10udt5766);(-122.81742560145344,38.55472110160737);(-122.8173696296255,38.5545512884616);(-122.81738097230057,38.55445796155366);(-122.8173811774894,38.55437685355039);(-122.8173813598788,38.55430475754653);(-122.81720920888074,38.55430448888369);(-122.81722107353015,38.55415130279264);(-122.81717555382883,38.554045332505815);(-122.81702635780975,38.55399779401071) l(r:p10udt5761-p10udt5771);(-122.81756275554433,38.55518821983603);(-122.81739042005171,38.55526004742776);(-122.81729890149649,38.555142748188075);(-122.81720738323821,38.55502544887524);(-122.81710438844787,38.55490813155998);(-122.81712741059842,38.55488113141885);(-122.81724231574515,38.554827238640264);(-122.81734574391284,38.55477332784244) l(r:p10udt5743-p10udt5771);(-122.8175953643408,38.55590923338392);(-122.8174580051247,38.55576482674679);(-122.81732064645767,38.55562041994627);(-122.81718328833972,38.55547601298239);(-122.81738125222323,38.55525462590644);(-122.81755737476021,38.55518025965539);(-122.81772361383217,38.555116374120274) l(r:p10udt5220-p10udt5743);(-122.81714527254888,38.55691887412841);(-122.81714541034458,38.55683677084223);(-122.81713420636856,38.556756640264204);(-122.81714595732093,38.55670883583986);(-122.81714586694565,38.55665653090923);(-122.8171918894949,38.556611542621674);(-122.81723791198674,38.556566554315545);(-122.81747929554925,38.556422738376746);(-122.81733293647149,38.55629295937851);(-122.81750631089731,38.55614604703109);(-122.81773272410601,38.55605363985768) l(r:p10udt5220-p10udt5241);(-122.81716646991588,38.55758480235639);(-122.81716669819671,38.55749468240204);(-122.81716690364863,38.557413574441924);(-122.81717858631424,38.557332484404725);(-122.81716733737768,38.557242346522436);(-122.8171560656397,38.55716122063375);(-122.81715627110108,38.55708011266905);(-122.81716795372317,38.55699902262819) l(r:p10udt4979-p10udt5241);(-122.81715066238415,38.558143818879536);(-122.81715383256918,38.558070437061566);(-122.81714248800115,38.55799030628148);(-122.8171543162289,38.55790722605762);(-122.81714287613451,38.557837102369085);(-122.81714310444687,38.55774698241875);(-122.81716626446224,38.55766591031413) l(r:p10udt4938-p10udt4979);(-122.81577464785586,38.55833967565376);(-122.81589056374993,38.55833981328386);(-122.81600533748947,38.55833999372906);(-122.81612013418946,38.55833116206804);(-122.81623488497166,38.55834035428188) l(r:p10udt4913-p10udt4938);(-122.81508707833669,38.558365582996224);(-122.81520073302997,38.558356795158105);(-122.81531662588837,38.55836594534977);(-122.8154314227112,38.55835711436447);(-122.81554395822612,38.5583393571239);(-122.81566097024043,38.55835747604275) l(r:p10udt4900-p10udt4913);(-122.81449983282127,38.5591036403212);(-122.81459025214163,38.55909484112486);(-122.81465153478221,38.559026377159334);(-122.814604978263,38.55897309767436);(-122.81457012605746,38.55890903706834);(-122.81455800070268,38.558834489424314);(-122.81453548237398,38.55876342545441);(-122.81452394205498,38.5586994117237);(-122.81451262863104,38.558618006255934);(-122.81452422367785,38.55854493318151);(-122.81452443210692,38.558463825248054);(-122.81451313999524,38.55839171112161);(-122.81463829532171,38.55837393002164);(-122.81475421126406,38.558374068777034);(-122.81485750767203,38.558374232185585);(-122.81497228145963,38.558374413643726) l(r:p10udt4900-p10udt4903);(-122.81491151096817,38.55980324925328);(-122.81492305397605,38.55973738774027);(-122.81491179080523,38.559674599118175);(-122.81490044547716,38.55960217158192);(-122.81487817789557,38.55953217601654);(-122.81487777941204,38.5594476908724);(-122.81483318791297,38.559378559215666);(-122.81479846159034,38.55930554209183);(-122.81475382854384,38.55924632296556);(-122.81470866849835,38.55917511584946);(-122.81469497336668,38.559094937342344);(-122.81459414171385,38.55912170353826);(-122.81450261090895,38.55913054162429);(-122.81439651219621,38.55911248858608) l(r:p10udt4903-p10udt4933);(-122.81463351933651,38.55978885564661);(-122.81473907899368,38.559797945322515);(-122.81484237743943,38.559798108749455);(-122.81495357705431,38.55988203238211) l(r:p10udt4909-p10udt4933);(-122.81419709630585,38.5597971966059);(-122.81430290682228,38.559806266283275);(-122.81441770605673,38.55979743630024);(-122.8145209813396,38.55980661200137) l(r:p10udt4909-p10udt4949);(-122.81396845957265,38.56047964686723);(-122.81398000080921,38.5604005473944);(-122.81398020986374,38.5603194394892);(-122.81398056069114,38.56022752632128);(-122.81396930880837,38.56015018408568);(-122.81396938260288,38.560067085538364);(-122.81396959166685,38.5599859776286);(-122.813958458378,38.559906842116824);(-122.81394705457498,38.55982372532539);(-122.81409628670126,38.5598149503765) l(r:p10udt4949-p10udt4966);(-122.81377298362818,38.560562434728254);(-122.81387503875911,38.56055364012578);(-122.81397960592612,38.560553751212204) l(r:p10udt4926-p10udt4966);(-122.81325388072119,38.56058875411214);(-122.81335478134636,38.56057120994152);(-122.81346054973989,38.56056204753497);(-122.813566361282,38.56057111787947);(-122.81366841644963,38.560562323457226) l(r:p10udt4792-p10udt4926);(-122.81264664154098,38.560659824941766);(-122.81274629814651,38.560633322399234);(-122.81284027662778,38.56062417063817);(-122.81294391194592,38.560615295310036);(-122.81304723489598,38.56060644833534);(-122.81315180213521,38.560606560161396) l(r:p10udt4792-p10udt4835);(-122.81218777049712,38.56058884118376);(-122.81226682203801,38.56061555854364);(-122.81235353997651,38.56065086214921);(-122.81244418019266,38.56065057278354);(-122.81254585347598,38.56066867562176) l(r:p10udt4784-p10udt4835);(-122.8116815377524,38.560283893782916);(-122.81179137489896,38.560338304157995);(-122.81186139036754,38.56039342880966);(-122.81192198307826,38.56045397079443);(-122.81201029527453,38.560510824360435);(-122.81209183763606,38.56056111815244) l(r:p10udt3152-p10udt3153);(-122.80795737663513,38.5558396374621);(-122.8078400717921,38.55583955521572);(-122.80773677905323,38.55583938562464);(-122.8076220093444,38.55583919708319);(-122.80752122770917,38.55584793322092);(-122.80741539982705,38.55584786941807);(-122.80741588070755,38.55566762955509);(-122.80741631349633,38.555505413673636);(-122.8074167222381,38.55535220978147);(-122.80740567815265,38.555189975011096);(-122.80731383915705,38.55519883592336);(-122.80719904639894,38.55520765896381);(-122.80708432586795,38.55518944591013) l(r:p10udt3151-p10udt3153);(-122.80914854187047,38.555805647505494);(-122.80904524917221,38.555805479068994);(-122.8089315977729,38.55581426094334);(-122.80882716294421,38.55581413517537);(-122.80871241715037,38.55580493570922);(-122.80860912445576,38.55580476688793);(-122.80849433088669,38.55581359119547);(-122.80839228182418,38.55582238112993);(-122.80827624457241,38.55582224627531);(-122.80817295185568,38.55582207706912);(-122.80805820613529,38.55581287696195) l(r:p10udt3151-p10udt3268);(-122.81063930400086,38.55649047949795);(-122.81071786625638,38.556428932249965);(-122.8107850944518,38.556367479251456);(-122.81087549751554,38.55630939102604);(-122.8108142687668,38.55626481776101);(-122.81073469208788,38.556202112427165);(-122.8106653981811,38.55613840863666);(-122.81059670158889,38.556075213287244);(-122.81053935582622,38.55602095270941);(-122.8104827889522,38.55597640409379);(-122.81039312068884,38.55593246845652);(-122.81030234855085,38.5558966916941);(-122.81022059186252,38.55585077181024);(-122.81012040853116,38.55582478943638);(-122.8100208625132,38.55578003024054);(-122.80991878994696,38.55579783350074);(-122.80980275272549,38.55579770015924);(-122.80969946003466,38.55579753229999);(-122.80958469037921,38.55579734568283);(-122.80948139769022,38.55579717763118);(-122.80936774635528,38.55580595992914);(-122.8092633353496,38.555796822556196) l(r:p10udt3268-p10udt4899);(-122.81132569140279,38.5572266145275);(-122.81131437911836,38.557163512078866);(-122.81130306685345,38.557100409628504);(-122.81130312244814,38.55701774766274);(-122.81128055441451,38.55692836316399);(-122.81126904752209,38.556854480957895);(-122.81123514162505,38.5567845157788);(-122.81119008795423,38.556704809234056);(-122.81113336786768,38.55662432595305);(-122.81108721851204,38.556542426708255);(-122.81101984641066,38.55647196880243);(-122.8109511727942,38.556399761669);(-122.8108075752221,38.55642105239053);(-122.81077695733038,38.556471604993185);(-122.81069405293536,38.55653658525161);(-122.8105811762484,38.55656498498704) l(r:p10udt3278-p10udt3364);(-122.81208444027621,38.55665752794033);(-122.81218773421193,38.55665769369838);(-122.8123025052526,38.5566578777671);(-122.81241727629431,38.55665806172332);(-122.81252057023275,38.55665822718768);(-122.81263536466956,38.55664939893562);(-122.81275018245748,38.55663155857633);(-122.81284199925963,38.5566317053991);(-122.81294526980848,38.55664088248333) l(r:p10udt3364-p10udt4899);(-122.81131432622882,38.55722787070387);(-122.81130301395412,38.55716476825418);(-122.81129170169898,38.557101665802776);(-122.81129171288292,38.55701872448413);(-122.81126929552524,38.556930112418506);(-122.81125790735052,38.55685664873663);(-122.81122400146332,38.55678668355437);(-122.81133416160797,38.55674701533699);(-122.81143792708795,38.556720035085924);(-122.8115334216467,38.55670170232759);(-122.81163676267795,38.55668384458415);(-122.81173883608047,38.55666603983086);(-122.81185487470935,38.55666617125688);(-122.81196964576132,38.55666635565197) l(r:p10udt4899-p10udt4906);(-122.81134672581578,38.557872965627645);(-122.8113469613654,38.5577828457075);(-122.81135867418202,38.5576927442807);(-122.8113589097152,38.55760262435769);(-122.81135920455033,38.55751160779831);(-122.8113593336723,38.55744040849278);(-122.81135954564955,38.55735930055863);(-122.81135973407306,38.55728720461622) l(r:p10udt4802-p10udt4906);(-122.81128698306176,38.55877407225845);(-122.81131017354481,38.55868398935346);(-122.81131038558675,38.55860288143681);(-122.81131059762784,38.558521773519054);(-122.81131080966804,38.55844066560014);(-122.81134540671843,38.55837763715482);(-122.8113455716074,38.55831455321631);(-122.81133432980961,38.558224414806745);(-122.81133456537766,38.55813429489207);(-122.81133480094469,38.55804417497601);(-122.81135799112984,38.557954092049535) l(r:p10udt4799-p10udt4802);(-122.81131955419299,38.55948607496773);(-122.81131978979012,38.559395955072624);(-122.8113314793526,38.55931486566389);(-122.81132023742178,38.55922472726807);(-122.81132047301585,38.55913460736892);(-122.81132070860887,38.559044487468356);(-122.81132094420086,38.558954367566436);(-122.8113326572461,38.55886426616086) l(r:p10udt4799-p10udt4841);(-122.81134221923035,38.55982240635357);(-122.81134182614488,38.5597474596513);(-122.81131915059373,38.55966731007465);(-122.81133079616234,38.55957621335972) l(r:p10udt4784-p10udt4841);(-122.81167420798614,38.56027695876134);(-122.81165045119293,38.560234606048255);(-122.81159322751425,38.56017142978182);(-122.8115360039356,38.560108253486966);(-122.8114694270322,38.56005030023483);(-122.81141220365777,38.55998712387869);(-122.81138733614753,38.559900737441204) l(r:p10udt4762lv-p10ulv15573);(-122.82783069016043,38.561638360641645);(-122.82777347268589,38.561566180269075) l(r:p10udt4762-p10udt5157);(-122.8265989618135,38.56185316003143);(-122.82674656571116,38.561799807116955);(-122.82689529777262,38.5617371906885);(-122.82695787233529,38.5618443503111);(-122.82700356805587,38.56193453810306);(-122.82706074185722,38.562024742833);(-122.82711789421205,38.56212395952721);(-122.82731319243773,38.56205215158136);(-122.82751998977466,38.56197134822617);(-122.8277267651366,38.56189955649732);(-122.8279335615753,38.56181875240733);(-122.82783069016043,38.561638360641645) l(r:p10udt4748lv-p10ulv15528);(-122.8276050318561,38.56481026080566);(-122.82765088092346,38.56483736438075) l(r:p10udt4748-p10udt4751);(-122.82813376852536,38.56450462954728);(-122.82805331262072,38.56454957150499);(-122.82796135675409,38.564603508528165);(-122.82786940075009,38.564657445478296);(-122.82778892300341,38.564711399241894);(-122.8276969882521,38.56475632406553);(-122.82761190167867,38.56481748053932) l(r:p10udt4751-p10udt4764);(-122.82788193241893,38.56421587484469);(-122.82806136173718,38.56432648452179);(-122.82822572413737,38.56445069238717) l(r:p10udt4764-p10udt5979);(-122.82604909505021,38.562681121757066);(-122.8260374214589,38.56276221262683);(-122.82602574784104,38.562843303494226);(-122.82599118316921,38.562897324263915);(-122.8259566184455,38.5629513450228);(-122.82614000772172,38.563059761815225);(-122.82631189771053,38.56317717328123);(-122.82648378825888,38.56329458449378);(-122.82665567936684,38.563411995452874);(-122.82683904924045,38.563529423137766);(-122.82701096308998,38.56363782158231);(-122.82718285587406,38.56375523176434);(-122.82735474921773,38.56387264169291);(-122.82752664312096,38.563990051368016);(-122.82771001588239,38.5641074776837) l(r:p10udt5157-p10udt5979);(-122.82623957215115,38.56197751528957);(-122.82606152564288,38.561969170316914);(-122.82607361501857,38.56203229241565);(-122.82607341954296,38.56211340035095);(-122.82606172435216,38.562203503223905);(-122.82606152886198,38.56228461115676);(-122.82606131164977,38.56237473108085);(-122.82604963812305,38.56245582195622);(-122.82604948606327,38.56251890590134) l(r:p10udt5157-p10udt5175);(-122.82607465754188,38.56159971674169);(-122.82607446207047,38.561680824683);(-122.82607428831746,38.561752920629885);(-122.82607409284454,38.561834028569066);(-122.82607394080951,38.56189711252098);(-122.82608502986875,38.56196276215855);(-122.82623602876727,38.56195146529949);(-122.82639530162265,38.56190660155946) l(r:p10udt5150-p10udt5175);(-122.82579482141385,38.56090600972253);(-122.8259358554668,38.56088782943424);(-122.82609922030686,38.56093286328425);(-122.82609904658321,38.56100495924046);(-122.82608737332633,38.561086050139224);(-122.82608717787276,38.56116715808773);(-122.82608700413563,38.56123925404101);(-122.82608680868061,38.56132036198738);(-122.82608663494216,38.561392457938766);(-122.82608646120309,38.56146455388926);(-122.82607480957469,38.56153663278655) l(r:p10udt5096-p10udt5150);(-122.82471867225766,38.56122151938599);(-122.82482670189813,38.561193868276995);(-122.82499826866032,38.56082905927721);(-122.82520438904983,38.560751290055606);(-122.82541872780429,38.56090745391664);(-122.82549541687054,38.56093269344483);(-122.82567449844751,38.56095025564345) l(r:p10udt5100lv-p10ulv16993);(-122.82607503755408,38.55667914914224);(-122.82598330753088,38.556642964693395) l(r:p10udt4805-p10udt4848);(-122.81068176413001,38.56197236430011);(-122.81089924184728,38.56197272826193);(-122.81110179774169,38.562179038498584);(-122.81114554114433,38.562145855419175);(-122.81121450330151,38.562109918558946);(-122.81127077095108,38.562058964279124);(-122.81130336273367,38.56196481835055);(-122.81130180898813,38.56188324516585);(-122.81129056670753,38.56179310680589);(-122.81127954292533,38.56170655571531);(-122.81130251590342,38.561612885587614) padswitch(r:p10udt4909-p10udt4923)p10u_35588 padswitch(r:p10udt4909-p10udt4923)p10u_35589 padswitch(r:p10udt5206-p10udt5241)p10u_35590 padswitch(r:p10udt5206-p10udt5241)p10u_35591 padswitch(r:p10udt5216-p10udt5235)p10u_35592 padswitch(r:p10udt5216-p10udt5235)p10u_35593 padswitch(r:p10udt5192-p10udt5214)p10u_35594 padswitch(r:p10udt5192-p10udt5214)p10u_35595 padswitch(r:p10udt5214-p10udt5240)p10u_35596 padswitch(r:p10udt5214-p10udt5240)p10u_35597 padswitch(r:p10udt5216-p10udt5240)p10u_35598 padswitch(r:p10udt5216-p10udt5240)p10u_35599 padswitch(r:p10udt4741-p10udt5120)p10u_35600 padswitch(r:p10udt4741-p10udt5120)p10u_35601 padswitch(r:p10udt4748-p10udt4754)p10u_35602 padswitch(r:p10udt4748-p10udt4754)p10u_35603 padswitch(r:p10udt3462-p10udt3491)p10u_35606 padswitch(r:p10udt3462-p10udt3491)p10u_35607 padswitch(r:p10udt3491-p10udt4742)p10u_35608 padswitch(r:p10udt3491-p10udt4742)p10u_35609 padswitch(r:p10udt4737-p10udt4743)p10u_35610 padswitch(r:p10udt4737-p10udt4743)p10u_35611 padswitch(r:p10udt4743-p10udt4753)p10u_35612 padswitch(r:p10udt4743-p10udt4753)p10u_35613 padswitch(r:p10udt4743-p10udt4765)p10u_35614 padswitch(r:p10udt4743-p10udt4765)p10u_35615 padswitch(r:p10udt4738-p10udt5141)p10u_35616 padswitch(r:p10udt4738-p10udt5141)p10u_35617 padswitch(r:p10udt4738-p10udt4756)p10u_35618 padswitch(r:p10udt4738-p10udt4756)p10u_35619 padswitch(r:p10udt4740-p10udt4749)p10u_35620 padswitch(r:p10udt4740-p10udt4749)p10u_35621 padswitch(r:p10udt5013-p10udt5047)p10u_35622 padswitch(r:p10udt5013-p10udt5047)p10u_35623 padswitch(r:p10udt4749-p10udt5013)p10u_35624 padswitch(r:p10udt4749-p10udt5013)p10u_35625 padswitch(r:p10udt4749-p10udt4752)p10u_35626 padswitch(r:p10udt4749-p10udt4752)p10u_35627 padswitch(r:p10udt5020-p10udt5050)p10u_35628 padswitch(r:p10udt5020-p10udt5050)p10u_35629 padswitch(r:p10udt4745-p10udt5020)p10u_35630 padswitch(r:p10udt4745-p10udt5020)p10u_35631 padswitch(r:p10udt4745-p10udt5221)p10u_35632 padswitch(r:p10udt4745-p10udt5221)p10u_35633 padswitch(r:p10udt4752-p10udt5221)p10u_35634 padswitch(r:p10udt4752-p10udt5221)p10u_35635 padswitch(r:p10udt4752-p10udt4757)p10u_35636 padswitch(r:p10udt4752-p10udt4757)p10u_35637 padswitch(r:p10udt4750-p10udt4757)p10u_35638 padswitch(r:p10udt4750-p10udt4757)p10u_35639 padswitch(r:p10udt4750-p10udt4756)p10u_35640 padswitch(r:p10udt4750-p10udt4756)p10u_35641 padswitch(r:p10udt4756-p10udt5127)p10u_35642 padswitch(r:p10udt4756-p10udt5127)p10u_35643 padswitch(r:p10udt5127-p10udt5177)p10u_35644 padswitch(r:p10udt5127-p10udt5177)p10u_35645 padswitch(r:p10udt4747-p10udt5122)p10u_35646 padswitch(r:p10udt4747-p10udt5122)p10u_35647 padswitch(r:p10udt5044-p10udt5276)p10u_35648 padswitch(r:p10udt5044-p10udt5276)p10u_35649 padswitch(r:p10udt5016-p10udt5045)p10u_35650 padswitch(r:p10udt5016-p10udt5045)p10u_35651 padswitch(r:p10udt5026-p10udt5045)p10u_35652 padswitch(r:p10udt5026-p10udt5045)p10u_35653 padswitch(r:p10udt5045-p10udt5055)p10u_35654 padswitch(r:p10udt5045-p10udt5055)p10u_35655 padswitch(r:p10udt5046-p10udt5055)p10u_35656 padswitch(r:p10udt5046-p10udt5055)p10u_35657 padswitch(r:p10udt5046-p10udt5052)p10u_35658 padswitch(r:p10udt5046-p10udt5052)p10u_35659 padswitch(r:p10udt5052-p10udt5064)p10u_35660 padswitch(r:p10udt5052-p10udt5064)p10u_35661 padswitch(r:p10udt4759-p10udt4767)p10u_35662 padswitch(r:p10udt4759-p10udt4767)p10u_35663 padswitch(r:p10udt4767-p10udt5059)p10u_35664 padswitch(r:p10udt4767-p10udt5059)p10u_35665 padswitch(r:p10udt4767-p10udt5270)p10u_35666 padswitch(r:p10udt4767-p10udt5270)p10u_35667 padswitch(r:p10udt4739-p10udt5271)p10u_35668 padswitch(r:p10udt4739-p10udt5271)p10u_35669 padswitch(r:p10udt5269-p10udt5271)p10u_35670 padswitch(r:p10udt5269-p10udt5271)p10u_35671 padswitch(r:p10udt5269-p10udt5270)p10u_35672 padswitch(r:p10udt5269-p10udt5270)p10u_35673 padswitch(r:p10udt5064-p10udt5270)p10u_35674 padswitch(r:p10udt5064-p10udt5270)p10u_35675 padswitch(r:p10udt5064-p10udt5276)p10u_35676 padswitch(r:p10udt5064-p10udt5276)p10u_35677 padswitch(r:p10udt5274-p10udt5276)p10u_35678 padswitch(r:p10udt5274-p10udt5276)p10u_35679 padswitch(r:p10udt5051-p10udt5053)p10u_35680 padswitch(r:p10udt5051-p10udt5053)p10u_35681 padswitch(r:p10udt5053-p10udt5062)p10u_35682 padswitch(r:p10udt5053-p10udt5062)p10u_35683 padswitch(r:p10udt3224-p10udt3225)p10u_35684 padswitch(r:p10udt3224-p10udt3225)p10u_35685 padswitch(r:p10udt3222-p10udt3224)p10u_35686 padswitch(r:p10udt3222-p10udt3224)p10u_35687 padswitch(r:p10udt3222-p10udt5058)p10u_35688 padswitch(r:p10udt3222-p10udt5058)p10u_35689 padswitch(r:p10udt4678-p10udt4707)p10u_35690 padswitch(r:p10udt4678-p10udt4707)p10u_35691 padswitch(r:p10udt4678-p10udt5048)p10u_35692 padswitch(r:p10udt4678-p10udt5048)p10u_35693 padswitch(r:p10udt5048-p10udt5057)p10u_35694 padswitch(r:p10udt5048-p10udt5057)p10u_35695 padswitch(r:p10udt5048-p10udt5058)p10u_35696 padswitch(r:p10udt5048-p10udt5058)p10u_35697 padswitch(r:p10udt5056-p10udt5058)p10u_35698 padswitch(r:p10udt5056-p10udt5058)p10u_35699 padswitch(r:p10udt5813-p10udt5898)p10u_35700 padswitch(r:p10udt5813-p10udt5898)p10u_35701 padswitch(r:p10udt5802-p10udt5898)p10u_35702 padswitch(r:p10udt5802-p10udt5898)p10u_35703 padswitch(r:p10udt5056-p10udt5802)p10u_35704 padswitch(r:p10udt5056-p10udt5802)p10u_35705 padswitch(r:p10udt5056-p10udt5063)p10u_35706 padswitch(r:p10udt5056-p10udt5063)p10u_35707 padswitch(r:p10udt5062-p10udt5063)p10u_35708 padswitch(r:p10udt5062-p10udt5063)p10u_35709 padswitch(r:p10udt5783-p10udt5814)p10u_35710 padswitch(r:p10udt5783-p10udt5814)p10u_35711 padswitch(r:p10udt5062-p10udt5783)p10u_35712 padswitch(r:p10udt5062-p10udt5783)p10u_35713 padswitch(r:p10udt5062-p10udt5792)p10u_35714 padswitch(r:p10udt5062-p10udt5792)p10u_35715 padswitch(r:p10udt5274-p10udt5792)p10u_35716 padswitch(r:p10udt5274-p10udt5792)p10u_35717 padswitch(r:p10udt5274-p10udt5904)p10u_35718 padswitch(r:p10udt5274-p10udt5904)p10u_35719 padswitch(r:p10udt5272-p10udt5274)p10u_35720 padswitch(r:p10udt5272-p10udt5274)p10u_35721 padswitch(r:p10udt5272-p10udt5892)p10u_35722 padswitch(r:p10udt5272-p10udt5892)p10u_35723 padswitch(r:p10udt5272-p10udt5907)p10u_35724 padswitch(r:p10udt5272-p10udt5907)p10u_35725 padswitch(r:p10udt5890-p10udt5914)p10u_35726 padswitch(r:p10udt5890-p10udt5914)p10u_35727 padswitch(r:p10udt5907-p10udt5914)p10u_35728 padswitch(r:p10udt5907-p10udt5914)p10u_35729 padswitch(r:p10udt5690-p10udt5695)p10u_35736 padswitch(r:p10udt5690-p10udt5695)p10u_35737 padswitch(r:p10udt5710-p10udt5716)p10u_35738 padswitch(r:p10udt5710-p10udt5716)p10u_35739 padswitch(r:p10udt5697-p10udt5716)p10u_35740 padswitch(r:p10udt5697-p10udt5716)p10u_35741 padswitch(r:p10udt5723-p10udt5735)p10u_35742 padswitch(r:p10udt5723-p10udt5735)p10u_35743 padswitch(r:p10udt5697-p10udt5735)p10u_35744 padswitch(r:p10udt5697-p10udt5735)p10u_35745 padswitch(r:p10udt5695-p10udt5697)p10u_35746 padswitch(r:p10udt5695-p10udt5697)p10u_35747 padswitch(r:p10udt5100-p10udt5695)p10u_35748 padswitch(r:p10udt5100-p10udt5695)p10u_35749 padswitch(r:p10udt5100-p10udt5707)p10u_35750 padswitch(r:p10udt5100-p10udt5707)p10u_35751 padswitch(r:p10udt5705-p10udt5905)p10u_35760 padswitch(r:p10udt5705-p10udt5905)p10u_35761 padswitch(r:p10udt5705-p10udt5720)p10u_35762 padswitch(r:p10udt5705-p10udt5720)p10u_35763 padswitch(r:p10udt5900-p10udt5908)p10u_35764 padswitch(r:p10udt5900-p10udt5908)p10u_35765 padswitch(r:p10udt5893-p10udt5900)p10u_35766 padswitch(r:p10udt5893-p10udt5900)p10u_35767 padswitch(r:p10udt5698-p10udt5893)p10u_35768 padswitch(r:p10udt5698-p10udt5893)p10u_35769 padswitch(r:p10udt5698-p10udt5740)p10u_35770 padswitch(r:p10udt5698-p10udt5740)p10u_35771 padswitch(r:p10udt5896-p10udt5897)p10u_35772 padswitch(r:p10udt5896-p10udt5897)p10u_35773 padswitch(r:p10udt5891-p10udt5896)p10u_35774 padswitch(r:p10udt5891-p10udt5896)p10u_35775 padswitch(r:p10udt5891-p10udt5910)p10u_35776 padswitch(r:p10udt5891-p10udt5910)p10u_35777 padswitch(r:p10udt5899-p10udt5910)p10u_35778 padswitch(r:p10udt5899-p10udt5910)p10u_35779 padswitch(r:p10udt5740-p10udt5899)p10u_35780 padswitch(r:p10udt5740-p10udt5899)p10u_35781 padswitch(r:p10udt5701-p10udt5740)p10u_35782 padswitch(r:p10udt5701-p10udt5740)p10u_35783 padswitch(r:p10udt5895-p10udt5909)p10u_35784 padswitch(r:p10udt5895-p10udt5909)p10u_35785 padswitch(r:p10udt5701-p10udt5895)p10u_35786 padswitch(r:p10udt5701-p10udt5895)p10u_35787 padswitch(r:p10udt5701-p10udt5718)p10u_35788 padswitch(r:p10udt5701-p10udt5718)p10u_35789 padswitch(r:p10udt5718-p10udt5720)p10u_35790 padswitch(r:p10udt5718-p10udt5720)p10u_35791 padswitch(r:p10udt5719-p10udt5739)p10u_35792 padswitch(r:p10udt5719-p10udt5739)p10u_35793 padswitch(r:p10udt5689-p10udt5696)p10u_35794 padswitch(r:p10udt5689-p10udt5696)p10u_35795 padswitch(r:p10udt5870-p10udt5871)p10u_35796 padswitch(r:p10udt5870-p10udt5871)p10u_35797 padswitch(r:p10udt5870-p10udt5872)p10u_35798 padswitch(r:p10udt5870-p10udt5872)p10u_35799 padswitch(r:p10udt5869-p10udt5872)p10u_35800 padswitch(r:p10udt5869-p10udt5872)p10u_35801 padswitch(r:p10udt5869-p10udt5887)p10u_35802 padswitch(r:p10udt5869-p10udt5887)p10u_35803 padswitch(r:p10udt5887-p10udt5902)p10u_35804 padswitch(r:p10udt5887-p10udt5902)p10u_35805 padswitch(r:p10udt5889-p10udt5901)p10u_35806 padswitch(r:p10udt5889-p10udt5901)p10u_35807 padswitch(r:p10udt5901-p10udt5913)p10u_35808 padswitch(r:p10udt5901-p10udt5913)p10u_35809 padswitch(r:p10udt5906-p10udt5913)p10u_35810 padswitch(r:p10udt5906-p10udt5913)p10u_35811 padswitch(r:p10udt5902-p10udt5906)p10u_35812 padswitch(r:p10udt5902-p10udt5906)p10u_35813 padswitch(r:p10udt5894-p10udt5902)p10u_35814 padswitch(r:p10udt5894-p10udt5902)p10u_35815 padswitch(r:p10udt5886-p10udt5894)p10u_35816 padswitch(r:p10udt5886-p10udt5894)p10u_35817 padswitch(r:p10udt5746-p10udt5886)p10u_35818 padswitch(r:p10udt5746-p10udt5886)p10u_35819 padswitch(r:p10udt5693-p10udt5746)p10u_35820 padswitch(r:p10udt5693-p10udt5746)p10u_35821 padswitch(r:p10udt5691-p10udt5693)p10u_35822 padswitch(r:p10udt5691-p10udt5693)p10u_35823 padswitch(r:p10udt5691-p10udt5754)p10u_35824 padswitch(r:p10udt5691-p10udt5754)p10u_35825 padswitch(r:p10udt5694-p10udt5712)p10u_35826 padswitch(r:p10udt5694-p10udt5712)p10u_35827 padswitch(r:p10udt5712-p10udt5754)p10u_35828 padswitch(r:p10udt5712-p10udt5754)p10u_35829 padswitch(r:p10udt5709-p10udt5754)p10u_35830 padswitch(r:p10udt5709-p10udt5754)p10u_35831 padswitch(r:p10udt5709-p10udt5738)p10u_35832 padswitch(r:p10udt5709-p10udt5738)p10u_35833 padswitch(r:p10udt5699-p10udt5738)p10u_35834 padswitch(r:p10udt5699-p10udt5738)p10u_35835 padswitch(r:p10udt5699-p10udt5726)p10u_35836 padswitch(r:p10udt5699-p10udt5726)p10u_35837 padswitch(r:p10udt5717-p10udt5726)p10u_35838 padswitch(r:p10udt5717-p10udt5726)p10u_35839 padswitch(r:p10udt5696-p10udt5717)p10u_35840 padswitch(r:p10udt5696-p10udt5717)p10u_35841 padswitch(r:p10udt5826-p10udt5830)p10u_35846 padswitch(r:p10udt5826-p10udt5830)p10u_35847 padswitch(r:p10udt5714-p10udt5847)p10u_35852 padswitch(r:p10udt5714-p10udt5847)p10u_35853 padswitch(r:p10udt5847-p10udt5867)p10u_35854 padswitch(r:p10udt5847-p10udt5867)p10u_35855 padswitch(r:p10udt5838-p10udt5867)p10u_35856 padswitch(r:p10udt5838-p10udt5867)p10u_35857 padswitch(r:p10udt5838-p10udt5848)p10u_35858 padswitch(r:p10udt5838-p10udt5848)p10u_35859 padswitch(r:p10udt5832-p10udt5848)p10u_35860 padswitch(r:p10udt5832-p10udt5848)p10u_35861 padswitch(r:p10udt5839-p10udt5853)p10u_35862 padswitch(r:p10udt5839-p10udt5853)p10u_35863 padswitch(r:p10udt5839-p10udt5858)p10u_35864 padswitch(r:p10udt5839-p10udt5858)p10u_35865 padswitch(r:p10udt5832-p10udt5858)p10u_35866 padswitch(r:p10udt5832-p10udt5858)p10u_35867 padswitch(r:p10udt5827-p10udt5859)p10u_35868 padswitch(r:p10udt5827-p10udt5859)p10u_35869 padswitch(r:p10udt5834-p10udt5861)p10u_35870 padswitch(r:p10udt5834-p10udt5861)p10u_35871 padswitch(r:p10udt5834-p10udt5842)p10u_35872 padswitch(r:p10udt5834-p10udt5842)p10u_35873 padswitch(r:p10udt5837-p10udt5842)p10u_35874 padswitch(r:p10udt5837-p10udt5842)p10u_35875 padswitch(r:p10udt5833-p10udt5842)p10u_35876 padswitch(r:p10udt5833-p10udt5842)p10u_35877 padswitch(r:p10udt5841-p10udt5849)p10u_35878 padswitch(r:p10udt5841-p10udt5849)p10u_35879 padswitch(r:p10udt5843-p10udt5849)p10u_35880 padswitch(r:p10udt5843-p10udt5849)p10u_35881 padswitch(r:p10udt5849-p10udt5857)p10u_35882 padswitch(r:p10udt5849-p10udt5857)p10u_35883 padswitch(r:p10udt5833-p10udt5857)p10u_35884 padswitch(r:p10udt5833-p10udt5857)p10u_35885 padswitch(r:p10udt5833-p10udt5840)p10u_35886 padswitch(r:p10udt5833-p10udt5840)p10u_35887 padswitch(r:p10udt5831-p10udt5835)p10u_35888 padswitch(r:p10udt5831-p10udt5835)p10u_35889 padswitch(r:p10udt5835-p10udt5850)p10u_35890 padswitch(r:p10udt5835-p10udt5850)p10u_35891 padswitch(r:p10udt5840-p10udt5850)p10u_35892 padswitch(r:p10udt5840-p10udt5850)p10u_35893 padswitch(r:p10udt5840-p10udt5860)p10u_35894 padswitch(r:p10udt5840-p10udt5860)p10u_35895 padswitch(r:p10udt5851-p10udt5860)p10u_35896 padswitch(r:p10udt5851-p10udt5860)p10u_35897 padswitch(r:p10udt5736-p10udt5755)p10u_35898 padswitch(r:p10udt5736-p10udt5755)p10u_35899 padswitch(r:p10udt5730-p10udt5755)p10u_35900 padswitch(r:p10udt5730-p10udt5755)p10u_35901 padswitch(r:p10udt5711-p10udt5730)p10u_35902 padswitch(r:p10udt5711-p10udt5730)p10u_35903 padswitch(r:p10udt5711-p10udt5753)p10u_35904 padswitch(r:p10udt5711-p10udt5753)p10u_35905 padswitch(r:p10udt5702-p10udt5711)p10u_35906 padswitch(r:p10udt5702-p10udt5711)p10u_35907 padswitch(r:p10udt5194-p10udt5250)p10u_35908 padswitch(r:p10udt5194-p10udt5250)p10u_35909 padswitch(r:p10udt5194-p10udt5692)p10u_35910 padswitch(r:p10udt5194-p10udt5692)p10u_35911 padswitch(r:p10udt5194-p10udt5204)p10u_35912 padswitch(r:p10udt5194-p10udt5204)p10u_35913 padswitch(r:p10udt5204-p10udt5244)p10u_35914 padswitch(r:p10udt5204-p10udt5244)p10u_35915 padswitch(r:p10udt5198-p10udt5199)p10u_35916 padswitch(r:p10udt5198-p10udt5199)p10u_35917 padswitch(r:p10udt5199-p10udt5242)p10u_35918 padswitch(r:p10udt5199-p10udt5242)p10u_35919 padswitch(r:p10udt5199-p10udt5200)p10u_35920 padswitch(r:p10udt5199-p10udt5200)p10u_35921 padswitch(r:p10udt5200-p10udt5226)p10u_35922 padswitch(r:p10udt5200-p10udt5226)p10u_35923 padswitch(r:p10udt5085-p10udt5226)p10u_35924 padswitch(r:p10udt5085-p10udt5226)p10u_35925 padswitch(r:p10udt5085-p10udt5703)p10u_35926 padswitch(r:p10udt5085-p10udt5703)p10u_35927 padswitch(r:p10udt5703-p10udt5708)p10u_35928 padswitch(r:p10udt5703-p10udt5708)p10u_35929 padswitch(r:p10udt4900-p10udt4917)p10u_35940 padswitch(r:p10udt4900-p10udt4917)p10u_35941 padswitch(r:p10udt4945-p10udt4957)p10u_35942 padswitch(r:p10udt4945-p10udt4957)p10u_35943 padswitch(r:p10udt4900-p10udt4945)p10u_35944 padswitch(r:p10udt4900-p10udt4945)p10u_35945 padswitch(r:p10udt5183-p10udt5239)p10u_35948 padswitch(r:p10udt5183-p10udt5239)p10u_35949 padswitch(r:p10udt5213-p10udt5239)p10u_35950 padswitch(r:p10udt5213-p10udt5239)p10u_35951 padswitch(r:p10udt5188-p10udt5239)p10u_35952 padswitch(r:p10udt5188-p10udt5239)p10u_35953 padswitch(r:p10udt5179-p10udt5188)p10u_35954 padswitch(r:p10udt5179-p10udt5188)p10u_35955 padswitch(r:p10udt5179-p10udt5185)p10u_35956 padswitch(r:p10udt5179-p10udt5185)p10u_35957 padswitch(r:p10udt5181-p10udt5185)p10u_35958 padswitch(r:p10udt5181-p10udt5185)p10u_35959 padswitch(r:p10udt4959-p10udt5185)p10u_35960 padswitch(r:p10udt4959-p10udt5185)p10u_35961 padswitch(r:p10udt4914-p10udt4959)p10u_35962 padswitch(r:p10udt4914-p10udt4959)p10u_35963 padswitch(r:p10udt4903-p10udt4914)p10u_35964 padswitch(r:p10udt4903-p10udt4914)p10u_35965 padswitch(r:p10udt4963-p10udt4991)p10u_35966 padswitch(r:p10udt4963-p10udt4991)p10u_35967 padswitch(r:p10udt4987-p10udt4991)p10u_35968 padswitch(r:p10udt4987-p10udt4991)p10u_35969 padswitch(r:p10udt4951-p10udt4987)p10u_35970 padswitch(r:p10udt4951-p10udt4987)p10u_35971 padswitch(r:p10udt4951-p10udt4978)p10u_35972 padswitch(r:p10udt4951-p10udt4978)p10u_35973 padswitch(r:p10udt4955-p10udt4978)p10u_35974 padswitch(r:p10udt4955-p10udt4978)p10u_35975 padswitch(r:p10udt4903-p10udt4955)p10u_35976 padswitch(r:p10udt4903-p10udt4955)p10u_35977 padswitch(r:p10udt4921-p10udt4924)p10u_35978 padswitch(r:p10udt4921-p10udt4924)p10u_35979 padswitch(r:p10udt4901-p10udt4952)p10u_35980 padswitch(r:p10udt4901-p10udt4952)p10u_35981 padswitch(r:p10udt4901-p10udt4974)p10u_35982 padswitch(r:p10udt4901-p10udt4974)p10u_35983 padswitch(r:p10udt4924-p10udt4974)p10u_35984 padswitch(r:p10udt4924-p10udt4974)p10u_35985 padswitch(r:p10udt4924-p10udt4968)p10u_35986 padswitch(r:p10udt4924-p10udt4968)p10u_35987 padswitch(r:p10udt4903-p10udt4968)p10u_35988 padswitch(r:p10udt4903-p10udt4968)p10u_35989 padswitch(r:p10udt5879-p10udt5880)p10u_36006 padswitch(r:p10udt5879-p10udt5880)p10u_36007 padswitch(r:p10udt3157-p10udt5879)p10u_36008 padswitch(r:p10udt3157-p10udt5879)p10u_36009 padswitch(r:p10udt3149-p10udt3157)p10u_36010 padswitch(r:p10udt3149-p10udt3157)p10u_36011 padswitch(r:p10udt3149-p10udt3158)p10u_36012 padswitch(r:p10udt3149-p10udt3158)p10u_36013 padswitch(r:p10udt3147-p10udt3162)p10u_36014 padswitch(r:p10udt3147-p10udt3162)p10u_36015 padswitch(r:p10udt3156-p10udt3165)p10u_36016 padswitch(r:p10udt3156-p10udt3165)p10u_36017 padswitch(r:p10udt5961-p10udt5963)p10u_36018 padswitch(r:p10udt5961-p10udt5963)p10u_36019 padswitch(r:p10udt5956-p10udt5963)p10u_36020 padswitch(r:p10udt5956-p10udt5963)p10u_36021 padswitch(r:p10udt5942-p10udt5956)p10u_36022 padswitch(r:p10udt5942-p10udt5956)p10u_36023 padswitch(r:p10udt5942-p10udt5951)p10u_36024 padswitch(r:p10udt5942-p10udt5951)p10u_36025 padswitch(r:p10udt5951-p10udt5972)p10u_36026 padswitch(r:p10udt5951-p10udt5972)p10u_36027 padswitch(r:p10udt5949-p10udt5972)p10u_36028 padswitch(r:p10udt5949-p10udt5972)p10u_36029 padswitch(r:p10udt5949-p10udt5973)p10u_36030 padswitch(r:p10udt5949-p10udt5973)p10u_36031 padswitch(r:p10udt5966-p10udt5973)p10u_36032 padswitch(r:p10udt5966-p10udt5973)p10u_36033 padswitch(r:p10udt5819-p10udt5966)p10u_36034 padswitch(r:p10udt5819-p10udt5966)p10u_36035 padswitch(r:p10udt5800-p10udt5819)p10u_36036 padswitch(r:p10udt5800-p10udt5819)p10u_36037 padswitch(r:p10udt5778-p10udt5800)p10u_36038 padswitch(r:p10udt5778-p10udt5800)p10u_36039 padswitch(r:p10udt5778-p10udt5822)p10u_36040 padswitch(r:p10udt5778-p10udt5822)p10u_36041 padswitch(r:p10udt3159-p10udt3165)p10u_36042 padswitch(r:p10udt3159-p10udt3165)p10u_36043 padswitch(r:p10udt3162-p10udt3165)p10u_36044 padswitch(r:p10udt3162-p10udt3165)p10u_36045 padswitch(r:p10udt3149-p10udt3162)p10u_36046 padswitch(r:p10udt3149-p10udt3162)p10u_36047 padswitch(r:p10udt3149-p10udt3161)p10u_36048 padswitch(r:p10udt3149-p10udt3161)p10u_36049 padswitch(r:p10udt3150-p10udt5875)p10u_36050 padswitch(r:p10udt3150-p10udt5875)p10u_36051 padswitch(r:p10udt3150-p10udt3161)p10u_36052 padswitch(r:p10udt3150-p10udt3161)p10u_36053 padswitch(r:p10udt3152-p10udt3161)p10u_36054 padswitch(r:p10udt3152-p10udt3161)p10u_36055 padswitch(r:p10udt3155-p10udt3166)p10u_36056 padswitch(r:p10udt3155-p10udt3166)p10u_36057 padswitch(r:p10udt3282-p10udt3369)p10u_36058 padswitch(r:p10udt3282-p10udt3369)p10u_36059 padswitch(r:p10udt3362-p10udt3369)p10u_36060 padswitch(r:p10udt3362-p10udt3369)p10u_36061 padswitch(r:p10udt3362-p10udt3384)p10u_36062 padswitch(r:p10udt3362-p10udt3384)p10u_36063 padswitch(r:p10udt3362-p10udt3368)p10u_36064 padswitch(r:p10udt3362-p10udt3368)p10u_36065 padswitch(r:p10udt3367-p10udt3368)p10u_36066 padswitch(r:p10udt3367-p10udt3368)p10u_36067 padswitch(r:p10udt3173-p10udt3368)p10u_36068 padswitch(r:p10udt3173-p10udt3368)p10u_36069 padswitch(r:p10udt3172-p10udt3173)p10u_36070 padswitch(r:p10udt3172-p10udt3173)p10u_36071 padswitch(r:p10udt3171-p10udt3177)p10u_36072 padswitch(r:p10udt3171-p10udt3177)p10u_36073 padswitch(r:p10udt3172-p10udt3177)p10u_36074 padswitch(r:p10udt3172-p10udt3177)p10u_36075 padswitch(r:p10udt3172-p10udt3178)p10u_36076 padswitch(r:p10udt3172-p10udt3178)p10u_36077 padswitch(r:p10udt3175-p10udt3176)p10u_36078 padswitch(r:p10udt3175-p10udt3176)p10u_36079 padswitch(r:p10udt3174-p10udt3180)p10u_36080 padswitch(r:p10udt3174-p10udt3180)p10u_36081 padswitch(r:p10udt3180-p10udt3259)p10u_36082 padswitch(r:p10udt3180-p10udt3259)p10u_36083 padswitch(r:p10udt3180-p10udt3264)p10u_36084 padswitch(r:p10udt3180-p10udt3264)p10u_36085 padswitch(r:p10udt3180-p10udt3265)p10u_36086 padswitch(r:p10udt3180-p10udt3265)p10u_36087 padswitch(r:p10udt3382-p10udt3385)p10u_36088 padswitch(r:p10udt3382-p10udt3385)p10u_36089 padswitch(r:p10udt3382-p10udt3390)p10u_36090 padswitch(r:p10udt3382-p10udt3390)p10u_36091 padswitch(r:p10udt3281-p10udt3390)p10u_36092 padswitch(r:p10udt3281-p10udt3390)p10u_36093 padswitch(r:p10udt3180-p10udt3281)p10u_36094 padswitch(r:p10udt3180-p10udt3281)p10u_36095 padswitch(r:p10udt3176-p10udt3180)p10u_36096 padswitch(r:p10udt3176-p10udt3180)p10u_36097 padswitch(r:p10udt3176-p10udt3269)p10u_36098 padswitch(r:p10udt3176-p10udt3269)p10u_36099 padswitch(r:p10udt3176-p10udt3178)p10u_36100 padswitch(r:p10udt3176-p10udt3178)p10u_36101 padswitch(r:p10udt3178-p10udt3179)p10u_36102 padswitch(r:p10udt3178-p10udt3179)p10u_36103 padswitch(r:p10udt3155-p10udt3179)p10u_36104 padswitch(r:p10udt3155-p10udt3179)p10u_36105 padswitch(r:p10udt3154-p10udt3160)p10u_36108 padswitch(r:p10udt3154-p10udt3160)p10u_36109 padswitch(r:p10udt5631-p10udt5678)p10u_36110 padswitch(r:p10udt5631-p10udt5678)p10u_36111 padswitch(r:p10udt5626-p10udt5639)p10u_36112 padswitch(r:p10udt5626-p10udt5639)p10u_36113 padswitch(r:p10udt5639-p10udt5811)p10u_36114 padswitch(r:p10udt5639-p10udt5811)p10u_36115 padswitch(r:p10udt5776-p10udt5781)p10u_36116 padswitch(r:p10udt5776-p10udt5781)p10u_36117 padswitch(r:p10udt5776-p10udt5787)p10u_36118 padswitch(r:p10udt5776-p10udt5787)p10u_36119 padswitch(r:p10udt5810-p10udt6092)p10u_36120 padswitch(r:p10udt5810-p10udt6092)p10u_36121 padswitch(r:p10udt5799-p10udt5810)p10u_36122 padswitch(r:p10udt5799-p10udt5810)p10u_36123 padswitch(r:p10udt5793-p10udt5799)p10u_36124 padswitch(r:p10udt5793-p10udt5799)p10u_36125 padswitch(r:p10udt5777-p10udt5804)p10u_36126 padswitch(r:p10udt5777-p10udt5804)p10u_36127 padswitch(r:p10udt5796-p10udt5825)p10u_36128 padswitch(r:p10udt5796-p10udt5825)p10u_36129 padswitch(r:p10udt5782-p10udt5796)p10u_36130 padswitch(r:p10udt5782-p10udt5796)p10u_36131 padswitch(r:p10udt5782-p10udt5804)p10u_36132 padswitch(r:p10udt5782-p10udt5804)p10u_36133 padswitch(r:p10udt5804-p10udt5808)p10u_36134 padswitch(r:p10udt5804-p10udt5808)p10u_36135 padswitch(r:p10udt5793-p10udt5804)p10u_36136 padswitch(r:p10udt5793-p10udt5804)p10u_36137 padswitch(r:p10udt5787-p10udt5793)p10u_36138 padswitch(r:p10udt5787-p10udt5793)p10u_36139 padswitch(r:p10udt5787-p10udt5817)p10u_36140 padswitch(r:p10udt5787-p10udt5817)p10u_36141 padswitch(r:p10udt5787-p10udt5812)p10u_36142 padswitch(r:p10udt5787-p10udt5812)p10u_36143 padswitch(r:p10udt5639-p10udt5812)p10u_36144 padswitch(r:p10udt5639-p10udt5812)p10u_36145 padswitch(r:p10udt5639-p10udt5681)p10u_36146 padswitch(r:p10udt5639-p10udt5681)p10u_36147 padswitch(r:p10udt5678-p10udt5681)p10u_36148 padswitch(r:p10udt5678-p10udt5681)p10u_36149 padswitch(r:p10udt5659-p10udt5678)p10u_36150 padswitch(r:p10udt5659-p10udt5678)p10u_36151 padswitch(r:p10udt5659-p10udt5788)p10u_36152 padswitch(r:p10udt5659-p10udt5788)p10u_36153 padswitch(r:p10udt5788-p10udt5795)p10u_36154 padswitch(r:p10udt5788-p10udt5795)p10u_36155 padswitch(r:p10udt5780-p10udt5795)p10u_36156 padswitch(r:p10udt5780-p10udt5795)p10u_36157 padswitch(r:p10udt5780-p10udt5797)p10u_36158 padswitch(r:p10udt5780-p10udt5797)p10u_36159 padswitch(r:p10udt5785-p10udt5797)p10u_36160 padswitch(r:p10udt5785-p10udt5797)p10u_36161 padswitch(r:p10udt5797-p10udt5824)p10u_36162 padswitch(r:p10udt5797-p10udt5824)p10u_36163 padswitch(r:p10udt5824-p10udt5874)p10u_36164 padswitch(r:p10udt5824-p10udt5874)p10u_36165 padswitch(r:p10udt5873-p10udt5874)p10u_36166 padswitch(r:p10udt5873-p10udt5874)p10u_36167 padswitch(r:p10udt3154-p10udt5874)p10u_36168 padswitch(r:p10udt3154-p10udt5874)p10u_36169 padswitch(r:p10udt3148-p10udt3154)p10u_36170 padswitch(r:p10udt3148-p10udt3154)p10u_36171 padswitch(r:p10udt3153-p10udt3169)p10u_36172 padswitch(r:p10udt3153-p10udt3169)p10u_36173 padswitch(r:p10udt3167-p10udt3170)p10u_36178 padswitch(r:p10udt3167-p10udt3170)p10u_36179 padswitch(r:p10udt3167-p10udt3168)p10u_36180 padswitch(r:p10udt3167-p10udt3168)p10u_36181 padswitch(r:p10udt3168-p10udt3268)p10u_36182 padswitch(r:p10udt3168-p10udt3268)p10u_36183 padswitch(r:p10udt3274-p10udt3277)p10u_36184 padswitch(r:p10udt3274-p10udt3277)p10u_36185 padswitch(r:p10udt3277-p10udt3365)p10u_36186 padswitch(r:p10udt3277-p10udt3365)p10u_36187 padswitch(r:p10udt3268-p10udt3365)p10u_36188 padswitch(r:p10udt3268-p10udt3365)p10u_36189 padswitch(r:p10udt4859-p10udt4915)p10u_36190 padswitch(r:p10udt4859-p10udt4915)p10u_36191 padswitch(r:p10udt3268-p10udt4859)p10u_36192 padswitch(r:p10udt3268-p10udt4859)p10u_36193 padswitch(r:p10udt3266-p10udt3273)p10u_36196 padswitch(r:p10udt3266-p10udt3273)p10u_36197 padswitch(r:p10udt3359-p10udt3370)p10u_36198 padswitch(r:p10udt3359-p10udt3370)p10u_36199 padswitch(r:p10udt3359-p10udt3360)p10u_36200 padswitch(r:p10udt3359-p10udt3360)p10u_36201 padswitch(r:p10udt3360-p10udt3371)p10u_36202 padswitch(r:p10udt3360-p10udt3371)p10u_36203 padswitch(r:p10udt3373-p10udt3374)p10u_36204 padswitch(r:p10udt3373-p10udt3374)p10u_36205 padswitch(r:p10udt3373-p10udt3376)p10u_36206 padswitch(r:p10udt3373-p10udt3376)p10u_36207 padswitch(r:p10udt3373-p10udt3375)p10u_36208 padswitch(r:p10udt3373-p10udt3375)p10u_36209 padswitch(r:p10udt3363-p10udt3366)p10u_36210 padswitch(r:p10udt3363-p10udt3366)p10u_36211 padswitch(r:p10udt3366-p10udt3377)p10u_36212 padswitch(r:p10udt3366-p10udt3377)p10u_36213 padswitch(r:p10udt3372-p10udt3377)p10u_36214 padswitch(r:p10udt3372-p10udt3377)p10u_36215 padswitch(r:p10udt3375-p10udt3377)p10u_36216 padswitch(r:p10udt3375-p10udt3377)p10u_36217 padswitch(r:p10udt3380-p10udt3386)p10u_36218 padswitch(r:p10udt3380-p10udt3386)p10u_36219 padswitch(r:p10udt3386-p10udt3389)p10u_36220 padswitch(r:p10udt3386-p10udt3389)p10u_36221 padswitch(r:p10udt3381-p10udt3389)p10u_36222 padswitch(r:p10udt3381-p10udt3389)p10u_36223 padswitch(r:p10udt3375-p10udt3381)p10u_36224 padswitch(r:p10udt3375-p10udt3381)p10u_36225 padswitch(r:p10udt3273-p10udt3371)p10u_36226 padswitch(r:p10udt3273-p10udt3371)p10u_36227 padswitch(r:p10udt3261-p10udt3273)p10u_36228 padswitch(r:p10udt3261-p10udt3273)p10u_36229 padswitch(r:p10udt3261-p10udt3267)p10u_36230 padswitch(r:p10udt3261-p10udt3267)p10u_36231 padswitch(r:p10udt3258-p10udt3267)p10u_36232 padswitch(r:p10udt3258-p10udt3267)p10u_36233 padswitch(r:p10udt3258-p10udt3271)p10u_36234 padswitch(r:p10udt3258-p10udt3271)p10u_36235 padswitch(r:p10udt3258-p10udt3270)p10u_36236 padswitch(r:p10udt3258-p10udt3270)p10u_36237 padswitch(r:p10udt3257-p10udt3270)p10u_36238 padswitch(r:p10udt3257-p10udt3270)p10u_36239 padswitch(r:p10udt3257-p10udt3278)p10u_36240 padswitch(r:p10udt3257-p10udt3278)p10u_36241 padswitch(r:p10udt3262-p10udt3263)p10u_36242 padswitch(r:p10udt3262-p10udt3263)p10u_36243 padswitch(r:p10udt3262-p10udt3278)p10u_36244 padswitch(r:p10udt3262-p10udt3278)p10u_36245 padswitch(r:p10udt3272-p10udt3280)p10u_36246 padswitch(r:p10udt3272-p10udt3280)p10u_36247 padswitch(r:p10udt3260-p10udt3280)p10u_36248 padswitch(r:p10udt3260-p10udt3280)p10u_36249 padswitch(r:p10udt3260-p10udt3283)p10u_36250 padswitch(r:p10udt3260-p10udt3283)p10u_36251 padswitch(r:p10udt4897-p10udt4944)p10u_36252 padswitch(r:p10udt4897-p10udt4944)p10u_36253 padswitch(r:p10udt3283-p10udt4944)p10u_36254 padswitch(r:p10udt3283-p10udt4944)p10u_36255 padswitch(r:p10udt3278-p10udt3283)p10u_36256 padswitch(r:p10udt3278-p10udt3283)p10u_36257 padswitch(r:p10udt4902-p10udt4942)p10u_36266 padswitch(r:p10udt4902-p10udt4942)p10u_36267 padswitch(r:p10udt4826-p10udt4856)p10u_36268 padswitch(r:p10udt4826-p10udt4856)p10u_36269 padswitch(r:p10udt4856-p10udt4922)p10u_36270 padswitch(r:p10udt4856-p10udt4922)p10u_36271 padswitch(r:p10udt4824-p10udt4856)p10u_36272 padswitch(r:p10udt4824-p10udt4856)p10u_36273 padswitch(r:p10udt4824-p10udt4861)p10u_36274 padswitch(r:p10udt4824-p10udt4861)p10u_36275 padswitch(r:p10udt4861-p10udt4931)p10u_36276 padswitch(r:p10udt4861-p10udt4931)p10u_36277 padswitch(r:p10udt4861-p10udt4964)p10u_36278 padswitch(r:p10udt4861-p10udt4964)p10u_36279 padswitch(r:p10udt4946-p10udt4964)p10u_36280 padswitch(r:p10udt4946-p10udt4964)p10u_36281 padswitch(r:p10udt4802-p10udt4964)p10u_36282 padswitch(r:p10udt4802-p10udt4964)p10u_36283 padswitch(r:p10udt4784-p10udt4785)p10u_36290 padswitch(r:p10udt4784-p10udt4785)p10u_36291 padswitch(r:p10udt4785-p10udt4805)p10u_36292 padswitch(r:p10udt4785-p10udt4805)p10u_36293 padswitch(r:p10udt4795-p10udt5530)p10u_36294 padswitch(r:p10udt4795-p10udt5530)p10u_36295 padswitch(r:p10udt5540-p10udt5556)p10u_36296 padswitch(r:p10udt5540-p10udt5556)p10u_36297 padswitch(r:p10udt5538-p10udt5556)p10u_36298 padswitch(r:p10udt5538-p10udt5556)p10u_36299 padswitch(r:p10udt4795-p10udt5538)p10u_36300 padswitch(r:p10udt4795-p10udt5538)p10u_36301 padswitch(r:p10udt4782-p10udt4795)p10u_36302 padswitch(r:p10udt4782-p10udt4795)p10u_36303 padswitch(r:p10udt4782-p10udt4862)p10u_36304 padswitch(r:p10udt4782-p10udt4862)p10u_36305 padswitch(r:p10udt4755-p10udt5907)p10u_35730 padswitch(r:p10udt4755-p10udt5907)p10u_35731 padswitch(r:p10udt4755-p10udt5103)p10u_35732 padswitch(r:p10udt4755-p10udt5103)p10u_35733 padswitch(r:p10udt5100-p10udt5103)p10u_35734 padswitch(r:p10udt5100-p10udt5103)p10u_35735 padswitch(r:p10udt5100-p10udt5122)p10u_35752 padswitch(r:p10udt5100-p10udt5122)p10u_35753 padswitch(r:p10udt5122-p10udt5136)p10u_35754 padswitch(r:p10udt5122-p10udt5136)p10u_35755 padswitch(r:p10udt5136-p10udt5177)p10u_35756 padswitch(r:p10udt5136-p10udt5177)p10u_35757 padswitch(r:p10udt5096-p10udt5129)p10u_35758 padswitch(r:p10udt5096-p10udt5129)p10u_35759 padswitch(r:p10udt5696-p10udt5744)p10u_35842 padswitch(r:p10udt5696-p10udt5744)p10u_35843 padswitch(r:p10udt5744-p10udt5830)p10u_35844 padswitch(r:p10udt5744-p10udt5830)p10u_35845 padswitch(r:p10udt5830-p10udt5845)p10u_35848 padswitch(r:p10udt5830-p10udt5845)p10u_35849 padswitch(r:p10udt5829-p10udt5845)p10u_35850 padswitch(r:p10udt5829-p10udt5845)p10u_35851 padswitch(r:p10udt5220-p10udt5241)p10u_35930 padswitch(r:p10udt5220-p10udt5241)p10u_35931 padswitch(r:p10udt4979-p10udt5241)p10u_35932 padswitch(r:p10udt4979-p10udt5241)p10u_35933 padswitch(r:p10udt4938-p10udt4979)p10u_35934 padswitch(r:p10udt4938-p10udt4979)p10u_35935 padswitch(r:p10udt4913-p10udt4938)p10u_35936 padswitch(r:p10udt4913-p10udt4938)p10u_35937 padswitch(r:p10udt4900-p10udt4913)p10u_35938 padswitch(r:p10udt4900-p10udt4913)p10u_35939 padswitch(r:p10udt4900-p10udt4903)p10u_35946 padswitch(r:p10udt4900-p10udt4903)p10u_35947 padswitch(r:p10udt4903-p10udt4933)p10u_35990 padswitch(r:p10udt4903-p10udt4933)p10u_35991 padswitch(r:p10udt4909-p10udt4933)p10u_35992 padswitch(r:p10udt4909-p10udt4933)p10u_35993 padswitch(r:p10udt4909-p10udt4949)p10u_35994 padswitch(r:p10udt4909-p10udt4949)p10u_35995 padswitch(r:p10udt4949-p10udt4966)p10u_35996 padswitch(r:p10udt4949-p10udt4966)p10u_35997 padswitch(r:p10udt4926-p10udt4966)p10u_35998 padswitch(r:p10udt4926-p10udt4966)p10u_35999 padswitch(r:p10udt4792-p10udt4926)p10u_36000 padswitch(r:p10udt4792-p10udt4926)p10u_36001 padswitch(r:p10udt4792-p10udt4835)p10u_36002 padswitch(r:p10udt4792-p10udt4835)p10u_36003 padswitch(r:p10udt4784-p10udt4835)p10u_36004 padswitch(r:p10udt4784-p10udt4835)p10u_36005 padswitch(r:p10udt3152-p10udt3153)p10u_36106 padswitch(r:p10udt3152-p10udt3153)p10u_36107 padswitch(r:p10udt3151-p10udt3153)p10u_36174 padswitch(r:p10udt3151-p10udt3153)p10u_36175 padswitch(r:p10udt3151-p10udt3268)p10u_36176 padswitch(r:p10udt3151-p10udt3268)p10u_36177 padswitch(r:p10udt3268-p10udt4899)p10u_36194 padswitch(r:p10udt3268-p10udt4899)p10u_36195 padswitch(r:p10udt3278-p10udt3364)p10u_36258 padswitch(r:p10udt3278-p10udt3364)p10u_36259 padswitch(r:p10udt3364-p10udt4899)p10u_36260 padswitch(r:p10udt3364-p10udt4899)p10u_36261 padswitch(r:p10udt4899-p10udt4906)p10u_36262 padswitch(r:p10udt4899-p10udt4906)p10u_36263 padswitch(r:p10udt4802-p10udt4906)p10u_36264 padswitch(r:p10udt4802-p10udt4906)p10u_36265 padswitch(r:p10udt4799-p10udt4802)p10u_36284 padswitch(r:p10udt4799-p10udt4802)p10u_36285 padswitch(r:p10udt4799-p10udt4841)p10u_36286 padswitch(r:p10udt4799-p10udt4841)p10u_36287 padswitch(r:p10udt4784-p10udt4841)p10u_36288 padswitch(r:p10udt4784-p10udt4841)p10u_36289 padswitch(r:p10udt4748-p10udt4751)p10u_35604 padswitch(r:p10udt4748-p10udt4751)p10u_35605 goab_disswitch(r:p10udt5191-p10udt5220)p10u_36742 disswitch(r:p10udt5771-p10udt5854)p10u_36825 disswitch(r:p10udt5151-p10udt5209)p10u_36833 goab_disswitch(r:p10udt5157-p10udt5979)p10u_36851 disswitch(r:p10udt5209-p10udt5245)p10u_36873 disswitch(r:p10udt5182-p10udt5244)p10u_36985 disswitch(r:p10udt5096-p10udt5145)p10u_37004 recloser_disswitch(r:p10udt5761-p10udt5766)p10u_37035 goab_disswitch(r:p10udt5209-p10udt5224)p10u_37069 goab_disswitch(r:p10udt5096-p10udt5150)p10u_37113 disswitch(r:p10udt5202-p10udt5252)p10u_37125 disswitch(r:p10udt3378-p10udt3391)p10u_37151 disswitch(r:p10udt3552-p10udt4761)p10u_37155 disswitch(r:p10udt5877-p10udt5885)p10u_37232 l(r:p10udt4850-p10udt4943)_disconnect l(r:p10udt4850-p10udt4943)_cont;(-122.8113254716863,38.56161292258792);(-122.81129138289808,38.561524540859594);(-122.81130296361154,38.56144165784825);(-122.81129172139602,38.56135151948175);(-122.81128058844288,38.56126317474888);(-122.811280714869,38.561171261245335);(-122.81126958195624,38.561082916508795);(-122.81128118618759,38.56099102150613);(-122.81126994406712,38.56090088313082);(-122.81128177954324,38.56081780356005);(-122.81130528011636,38.56072617680426);(-122.81133974880089,38.560646703952564);(-122.81136364305486,38.56055339801029);(-122.81141259507675,38.56049458595305);(-122.811471594142,38.56043906805554);(-122.81154200762606,38.56038390306293);(-122.81161911426513,38.56033632747533);(-122.81168886752008,38.56029082880406);(-122.8117838874735,38.560345134560464);(-122.81185325779687,38.56039978820414);(-122.81191385050607,38.56046033019306);(-122.81200216270366,38.56051718376514);(-122.8120857345559,38.560568750538565);(-122.81218261652366,38.56059689351591);(-122.81226227918978,38.56062383461711);(-122.81234948793306,38.560659293876704);(-122.8124427333147,38.56065951289919);(-122.81254456270676,38.56067763046068);(-122.81264788579361,38.5606687838389);(-122.81274876519169,38.56064212376838);(-122.81284167707544,38.560633115310985);(-122.8129451562347,38.56062425420415);(-122.81304847919745,38.560615407228404);(-122.81315304644968,38.56061551905338);(-122.81325512504803,38.5605977130031);(-122.81335724846372,38.56058001129811);(-122.81346179409195,38.56057100642385);(-122.81356633800189,38.56058012986837);(-122.81366966082753,38.56057128234395);(-122.81377296037388,38.56057144671729);(-122.8138762831625,38.56056259901038);(-122.81397958269761,38.56056276320137);(-122.81397986451972,38.56048066020229);(-122.81399147850959,38.56040056563211);(-122.81399168755122,38.56031945772686);(-122.81399197909134,38.560228441194845);(-122.8139807188336,38.560149207005004);(-122.8139808602502,38.56006710377698);(-122.81398106930129,38.55998599586715);(-122.8139698683649,38.559905865037074);(-122.8139585321836,38.55982374356608);(-122.81409626348794,38.55982396236686);(-122.81419834073657,38.55980615548831);(-122.81430288363477,38.55981527827375);(-122.81441768288354,38.55980644829079);(-122.8145209581793,38.559815623991945);(-122.81463463836954,38.559797824720846);(-122.81473905586064,38.55980695731323);(-122.8148423543193,38.559807120740224);(-122.81494544481305,38.55988839199279);(-122.8149681690068,38.55997854818365);(-122.81495634179113,38.56007044321975);(-122.8149447515879,38.56015875170711);(-122.814956021294,38.56023987776203);(-122.81493277558967,38.5603308580119);(-122.8149440814614,38.56042009941903);(-122.8149208356831,38.56051107966353);(-122.81493216467699,38.5605913090795);(-122.8149203190589,38.56066562216939);(-122.81489666505341,38.560739816844105);(-122.81487334839275,38.56080344426773);(-122.81483777490409,38.560868620620816);(-122.81479110890638,38.56094160358423);(-122.81473475698365,38.56100958032029);(-122.81468157110415,38.56108614486001);(-122.81458989307448,38.56113616188089);(-122.81453506622142,38.561188001020156);(-122.8144775380905,38.56124198201566);(-122.81442000987347,38.56129596298219);(-122.81437623899234,38.56135638147725);(-122.81433201393065,38.561405471359855);(-122.81428660523085,38.561547747163864);(-122.81428672164638,38.56163568185666);(-122.81429796764671,38.56172581994067);(-122.81429773574895,38.56181593981516);(-122.81430893733733,38.56189607058932);(-122.81430881947048,38.56196916180582);(-122.81429705034944,38.5620601601052);(-122.81429690090853,38.562140371351816);(-122.81429666900603,38.5622304912199);(-122.81428498228837,38.56231158089142);(-122.81428475036955,38.5624017007568);(-122.81428454164175,38.56248280863448);(-122.81427283167488,38.56257291028734);(-122.8142726229324,38.562654018162576);(-122.81428386906863,38.56274415623257);(-122.81447899631641,38.5627444656299)