l(r:p7udt1212lv-p7ulv4517);(-122.61651076768659,38.11007840221488);(-122.61664741238057,38.11012391153678) l(r:p7udm4864-p7ulv4512);(-122.61687644063427,38.10995341759783);(-122.61680771814493,38.1100072695862) l(r:p7udm4863-p7ulv4387);(-122.61729717060649,38.110207143849614);(-122.61702209208298,38.110458602646254) l(r:p7udm4863-p7udm4864);(-122.61701285003609,38.1100439891411);(-122.61716076054904,38.11011657263548);(-122.61729717060649,38.110207143849614) l(r:p7udm4864-p7udt1212lv);(-122.61678579943022,38.10983595713001);(-122.61687644063427,38.10995341759783) l(r:p7udm4866-p7ulv4540);(-122.61637365179618,38.11012301801839);(-122.61643092089903,38.11007814157864) l(r:p7udm4866-p7udt1212lv);(-122.61637365179618,38.11012301801839) l(r:p7udt1763lv-p7ulv7009);(-122.64795035201541,38.04778162796621);(-122.64832731700477,38.04760250054384) l(r:p7udm7060-p7ulv7021);(-122.64605758876704,38.04558583733511);(-122.64563573679352,38.04562061764549) l(r:p7udm7060-p7udt1769lv);(-122.64605719826594,38.045666951253565);(-122.64605758876704,38.04558583733511) l(r:p7udm7061-p7ulv35266);(-122.64604497712172,38.04583815743102);(-122.6457256944692,38.045873246836194) l(r:p7udm7061-p7udt1769lv);(-122.64604497712172,38.04583815743102) l(r:p7udt1774lv-p7ulv7041);(-122.64703316246379,38.04653511320233);(-122.6473179974259,38.04655399363788) l(r:p7udt1912lv-p7ulv7542);(-122.66655638591286,38.07162959119284);(-122.66617966888646,38.071736674880604) l(r:p7udt1912lv-p7ulv7543);(-122.66655638591286,38.07162959119284);(-122.66656733664296,38.07172876254273) l(r:p7udt1912lv-p7ulv7547);(-122.66655638591286,38.07162959119284);(-122.66717476383806,38.07102748940261) l(r:p7udt1912lv-p7ulv7550);(-122.66655638591286,38.07162959119284);(-122.66629236647616,38.072025402995315) l(r:p7udt1913lv-p7ulv7544);(-122.66530531450795,38.068462558160775);(-122.66546467216858,38.06851708837944) l(r:p7udt1913lv-p7ulv7546);(-122.66530531450795,38.068462558160775);(-122.66518036329123,38.068363061953185) l(r:p7udt1913lv-p7ulv7548);(-122.66530531450795,38.068462558160775);(-122.66532766340327,38.06856176213211) l(r:p7udt1913lv-p7ulv7549);(-122.66530531450795,38.068462558160775);(-122.66522571791252,38.06841726768919) l(r:p7udt1914lv-p7ulv7545);(-122.67043398112551,38.073884703242);(-122.67016347061868,38.07318996257966) l(r:p7udt1922lv-p7ulv7561);(-122.66929187993799,38.07432311992384);(-122.66879323502268,38.07365477228909) l(r:p7udt1928lv-p7ulv7581) l(r:p7udt2259lv-p7ulv14970);(-122.61863785476287,38.10026149298991);(-122.6188086044264,38.100325135917515) l(r:p7udm8882-p7ulv15009);(-122.61852300660225,38.10041433605661);(-122.61869370968375,38.10048699169639) l(r:p7udm8883-p7ulv19006);(-122.61843115584871,38.100531202897336);(-122.61828331019534,38.10044960843438) l(r:p7udm8881-p7ulv15006);(-122.61840815796141,38.100567179004564);(-122.61854459877009,38.100648736286615) l(r:p7udm8884-p7ulv19088);(-122.61801944253631,38.10074616996842);(-122.61788342455431,38.100583499179486) l(r:p7udm8881-p7udm8884);(-122.61836234962473,38.10060308102442);(-122.61830508914086,38.10064795852348);(-122.61824796925887,38.10066579835951);(-122.61820225457492,38.100683675226094);(-122.61811087204806,38.10071041636079);(-122.61801944253631,38.10074616996842) l(r:p7udm8881-p7udm8883);(-122.61840815796141,38.100567179004564) l(r:p7udm8882-p7udm8883);(-122.61846555891006,38.1004952638181);(-122.61843115584871,38.100531202897336) l(r:p7udm8882-p7udt2259lv);(-122.61858040731798,38.10034242081095);(-122.61852300660225,38.10041433605661) l(r:p7udm8885-p7ulv19232);(-122.61869530208052,38.10018056513899);(-122.61842223468885,38.10005350094527) l(r:p7udm8877-p7ulv8627);(-122.61881014951364,38.100027721893944);(-122.6186737095561,38.099946164916176) l(r:p7udm8878-p7ulv8650);(-122.61892499646653,38.099874878530144);(-122.61878860348573,38.09978430913768) l(r:p7udm8879-p7ulv8657);(-122.61914328387805,38.099569154481074);(-122.61898380032244,38.09953258666318) l(r:p7udm8878-p7udm8879);(-122.61898239636342,38.09980296307717);(-122.61903984293923,38.09972203504758);(-122.619085884323,38.09964107001733);(-122.61914328387805,38.099569154481074) l(r:p7udm8877-p7udm8878);(-122.61886754964347,38.099955806500176);(-122.61892499646653,38.099874878530144) l(r:p7udm8877-p7udm8885);(-122.61875270244327,38.100108649804426);(-122.61881014951364,38.100027721893944) l(r:p7udm8885-p7udt2259lv);(-122.61869530208052,38.10018056513899) l(r:p7udt2260lv-p7ulv8628);(-122.62222594351233,38.09893920374857);(-122.62228473119721,38.09859691009023) l(r:p7udm8888-p7ulv8639);(-122.62204341773646,38.09894762950201);(-122.62203140927542,38.09906475598508) l(r:p7udm8889-p7ulv8640);(-122.62170131538291,38.09893751594058);(-122.62146441482514,38.098432041771524) l(r:p7udm8888-p7udm8889);(-122.62196353657943,38.09895638524005);(-122.62188365540376,38.098965140923674);(-122.62179246214967,38.098955834743);(-122.62170131538291,38.09893751594058) l(r:p7udm8888-p7udt2260lv);(-122.62212329887487,38.098938873709564);(-122.62204341773646,38.09894762950201) l(r:p7udm8890-p7ulv11587);(-122.62259016056551,38.09908457732497);(-122.62271580073524,38.099048929988854) l(r:p7udm8887-p7ulv8637);(-122.62255455484268,38.09935484397415);(-122.62245195599195,38.09934550166792) l(r:p7udm8887-p7udm8890);(-122.62260128742865,38.099138689265786);(-122.62257778210142,38.099273804278006);(-122.62255455484268,38.09935484397415) l(r:p7udm8890-p7udt2260lv);(-122.62232854176497,38.09894854624966);(-122.6223855201927,38.09895774206795);(-122.62245385722815,38.09897598705497);(-122.62253346018227,38.0990213062947);(-122.62259016056551,38.09908457732497) l(r:p7udt2261lv-p7ulv8629);(-122.62555416907277,38.099346415446675);(-122.6256354296733,38.099067280625334) l(r:p7udm8893-p7ulv8632);(-122.6220495770878,38.09996608441015);(-122.62201582599627,38.09987584885415) l(r:p7udm8896-p7ulv35619);(-122.62177473928065,38.10018150486234);(-122.62161534600733,38.10012691550757) l(r:p7udm8893-p7udm8896);(-122.62198096064931,38.10000191448553);(-122.62184354177985,38.10010962471224);(-122.62177473928065,38.10018150486234) l(r:p7udm8893-p7udt2262lv);(-122.62222107149043,38.0998855215941);(-122.62214100368695,38.099930327646476);(-122.6220495770878,38.09996608441015) l(r:p7udm8895-p7ulv14913);(-122.62248389901296,38.099787226592476);(-122.62272234013618,38.09999528448936) l(r:p7udm8892-p7ulv8630);(-122.6225416198984,38.099652221525474);(-122.62241621049503,38.09964280591221) l(r:p7udm8892-p7ulv11487);(-122.6225416198984,38.099652221525474);(-122.62292929969819,38.099671491610756) l(r:p7udm8892-p7udm8895);(-122.62252993664937,38.099706260193884);(-122.6225416198984,38.099652221525474) l(r:p7udm8895-p7udt2262lv);(-122.62240383147625,38.09983203282609);(-122.62248389901296,38.099787226592476) l(r:p7udt2263lv-p7ulv8631);(-122.62170131538291,38.09893751594058);(-122.62134729659742,38.09902650269028) l(r:p7udt2264lv-p7ulv14961);(-122.62760263758008,38.10022715723304);(-122.62761363109111,38.100308306374146) l(r:p7udm8898-p7ulv8633);(-122.62779647970535,38.10023678409696);(-122.62784283176151,38.10009272770487) l(r:p7udm8902-p7ulv15043);(-122.62841076138612,38.10055417276071);(-122.62829575041448,38.10074307569581) l(r:p7udm8898-p7udm8902);(-122.62787604164326,38.10029111228723);(-122.62796700888501,38.1003454765342);(-122.62804657106732,38.10039980460926);(-122.62813753857057,38.10045416872458);(-122.62821714666316,38.10049948412901);(-122.62831965659554,38.100526846522676);(-122.62841076138612,38.10055417276071) l(r:p7udm8898-p7udt2264lv);(-122.62770523835584,38.10023649505036);(-122.62779647970535,38.10023678409696) l(r:p7udm8900-p7ulv8635);(-122.62751139624459,38.10022686803601);(-122.62755765736074,38.10010083686972) l(r:p7udm8899-p7ulv8634);(-122.62679346669549,38.10010742492537);(-122.6268509049292,38.10002649303758) l(r:p7udm8899-p7udm8900);(-122.62742020067363,38.10021756621307);(-122.62732895935193,38.10021727687443);(-122.62723776381718,38.10020797491008);(-122.6271465225093,38.10020768542983);(-122.62705532701078,38.100198383324056);(-122.62696413153594,38.1001890811476);(-122.62679346669549,38.10010742492537) l(r:p7udm8900-p7udt2264lv);(-122.62751139624459,38.10022686803601) l(r:p7udm8903-p7ulv8636);(-122.62055179147319,38.09847416182118);(-122.62083886949033,38.098096555028164) l(r:p7udm8903-p7udt2265lv);(-122.62055179147319,38.09847416182118) l(r:p7udm8905-p7ulv11539);(-122.62044844825519,38.09860901857919);(-122.62064172595107,38.09872680782508) l(r:p7udm8904-p7ulv11481);(-122.62021857761528,38.098950758484584);(-122.62038965200202,38.09895131127293) l(r:p7udm8904-p7udm8905);(-122.62040250227285,38.098671959068646);(-122.6203451512858,38.09873486269121);(-122.62029915849996,38.098806815687894);(-122.62024180731838,38.09886971925756);(-122.62021857761528,38.098950758484584) l(r:p7udm8905-p7udt2265lv);(-122.62044844825519,38.09860901857919) l(r:p7udt2266lv-p7ulv8638);(-122.6238403262814,38.09771865527673);(-122.62276832411288,38.09770620604779) l(r:p7udt2269lv-p7ulv11611);(-122.61968786954499,38.09792159412864);(-122.61993891514763,38.09789536826185) l(r:p7udm8916-p7ulv8643);(-122.6196997414197,38.097831505533215);(-122.61951712468333,38.09785795247823) l(r:p7udm8919-p7ulv8722);(-122.619746995112,38.09751621388062);(-122.61963304118959,38.09749781966843) l(r:p7udm8916-p7udm8919);(-122.61971156655837,38.09775042948594);(-122.61972343837454,38.097660340885206);(-122.61973521675513,38.097588277384006);(-122.619746995112,38.09751621388062) l(r:p7udm8916-p7udt2269lv);(-122.6196997414197,38.097831505533215) l(r:p7udm8917-p7ulv8664);(-122.61968740245251,38.09801171963351);(-122.61950515911674,38.09796606615419) l(r:p7udm8918-p7ulv8676);(-122.61967511013215,38.09818292117651);(-122.61989180207506,38.09818362232331) l(r:p7udm8917-p7udm8918);(-122.61968698206749,38.0980928325867);(-122.61967511013215,38.09818292117651) l(r:p7udm8917-p7udt2269lv);(-122.61968740245251,38.09801171963351) l(r:p7udm8948-p7ulv8649);(-122.61962612711658,38.09883167708646);(-122.61935264232962,38.0987857279852) l(r:p7udm8951-p7ulv8689);(-122.61966193020132,38.09852536115787);(-122.61944514377511,38.098542684680595) l(r:p7udm8952-p7ulv8709);(-122.61966235062054,38.09844424821089);(-122.61991316460991,38.09846308516042) l(r:p7udm8951-p7udm8952);(-122.61966235062054,38.09844424821089) l(r:p7udm8948-p7udm8951);(-122.61963785907369,38.0987686261601);(-122.6196495910102,38.098705575231776);(-122.61966146306686,38.09861548665318);(-122.61966193020132,38.09852536115787) l(r:p7udm8948-p7udt2274lv);(-122.61962612711658,38.09883167708646) l(r:p7udm8950-p7ulv8674);(-122.61948781904516,38.099110622998396);(-122.61965852007184,38.09918327724169) l(r:p7udm8949-p7ulv8666);(-122.61931557538043,38.09933538259935);(-122.61919068145899,38.09922682552008) l(r:p7udm8949-p7udm8950);(-122.61943042018888,38.09918253871223);(-122.61937297446963,38.099263466944706);(-122.61931557538043,38.09933538259935) l(r:p7udm8950-p7udt2274lv);(-122.6195913983494,38.09893070436547);(-122.61956835481172,38.098975693264066);(-122.6195452645171,38.099029694706346);(-122.61948781904516,38.099110622998396) l(r:p7udm8968-p7ulv11518);(-122.62001205746537,38.09698532184979);(-122.62034251265443,38.09704046619991) l(r:p7udm8965-p7ulv8725);(-122.61997756347363,38.097039286520456);(-122.61989805761591,38.09697594044922) l(r:p7udm8964-p7ulv8686);(-122.61993171143882,38.09708420174151);(-122.61986351685901,38.0970389176382) l(r:p7udm8964-p7udm8965);(-122.61993171143882,38.09708420174151) l(r:p7udm8965-p7udm8968);(-122.61997756347363,38.097039286520456) l(r:p7udm8968-p7udt2277lv);(-122.62012769021429,38.09667926379596);(-122.62008169852133,38.0967512167384);(-122.6200584693321,38.096832255965616);(-122.62003524009086,38.096913295186866);(-122.62001205746537,38.09698532184979) l(r:p7udm8969-p7ulv11555);(-122.62018620590636,38.096391046418574);(-122.62031212237842,38.09630132629635) l(r:p7udm8963-p7ulv8653);(-122.6200498644574,38.09629146590888);(-122.61996942590517,38.0964083709488) l(r:p7udm8967-p7ulv11511);(-122.61997031266996,38.09623713243591);(-122.6201080535522,38.09606633642849) l(r:p7udm8966-p7ulv8733);(-122.61956101084294,38.09599246500352);(-122.6195037079802,38.096046355698086) l(r:p7udm8966-p7udm8967);(-122.6198907143191,38.09619181146262);(-122.61981116275781,38.09613747788215);(-122.61973156461373,38.09609215680139);(-122.61964060877311,38.096037786199446);(-122.61956101084294,38.09599246500352) l(r:p7udm8963-p7udm8967);(-122.61997031266996,38.09623713243591) l(r:p7udm8963-p7udm8969);(-122.620129463015,38.096336786774685);(-122.6200498644574,38.09629146590888) l(r:p7udm8969-p7udt2277lv);(-122.62020803552991,38.09658038375969);(-122.62023112455195,38.09652638215801);(-122.62023135775213,38.09648131939148);(-122.62022013974234,38.096445232319894);(-122.62018620590636,38.096391046418574) l(r:p7udm9001-p7ulv8671);(-122.62000848114425,38.09987838731558);(-122.6197347119749,38.099886514376266) l(r:p7udm9002-p7ulv11565);(-122.62004358315717,38.09970725954364);(-122.62024854803097,38.099771011015) l(r:p7udm9001-p7udm9002);(-122.62002030629107,38.09979731126541);(-122.62004358315717,38.09970725954364) l(r:p7udm9001-p7udt2285lv);(-122.61998478409372,38.10004955195618);(-122.61999665597054,38.09995946336331);(-122.62000848114425,38.09987838731558) l(r:p7udm9005-p7ulv15016);(-122.61994972845413,38.100211667151676);(-122.62016651970337,38.100194342728365) l(r:p7udm9004-p7ulv14973);(-122.61997113841343,38.100482117339155);(-122.61982277746498,38.10049966281954) l(r:p7udm9003-p7ulv14916);(-122.61999352872338,38.10056330403107);(-122.62013062453231,38.100518683824575) l(r:p7udm9003-p7udm9004);(-122.61999352872338,38.10056330403107) l(r:p7udm9004-p7udm9005);(-122.6199493083259,38.100292780076906);(-122.61994893487719,38.10036488045393);(-122.61996001329668,38.10042800517081);(-122.61997113841343,38.100482117339155) l(r:p7udm9005-p7udt2285lv);(-122.61994972845413,38.100211667151676) l(r:p7udm9044-p7ulv8736);(-122.62016066641587,38.09912181260388);(-122.6199900117022,38.09904014653831) l(r:p7udm9044-p7udt2297lv);(-122.62016066641587,38.09912181260388) l(r:p7udm9045-p7ulv11551);(-122.62010233499811,38.099373979627615);(-122.62026200534434,38.09937449573391) l(r:p7udm9045-p7udt2297lv);(-122.6201141599553,38.09929290356006);(-122.62010233499811,38.099373979627615) l(r:p7udt2457lv-p7ulv9359);(-122.64351919295504,38.06139558866602);(-122.64277864211323,38.061312225565665) l(r:p7udt2472lv-p7ulv9392);(-122.64268297853174,38.062231236598514);(-122.64270590858146,38.06220426801464) l(r:p7udt2479lv-p7ulv9424);(-122.64882831640364,38.06201542515371);(-122.64881644330575,38.06211453008203) l(r:p7udt2479lv-p7ulv9517);(-122.64882831640364,38.06201542515371);(-122.6491582064032,38.06216061448566) l(r:p7udm9884-p7ulv9449);(-122.64193172372558,38.06200363142725);(-122.64198968606824,38.061805527209714) l(r:p7udm9884-p7udt2486lv);(-122.6418179069681,38.061967233607994);(-122.64193172372558,38.06200363142725) l(r:p7udm9885-p7ulv9522);(-122.64146466235114,38.06193911837409);(-122.64138495565325,38.06192084969882) l(r:p7udm9885-p7udt2486lv);(-122.64161263266242,38.06198463343557);(-122.6415328819082,38.061975377488395);(-122.64146466235114,38.06193911837409) l(r:p7udm9903-p7ulv9478);(-122.65143753371737,38.06468195634834);(-122.65141469160213,38.06469090136916) l(r:p7udm9903-p7udt2494lv);(-122.65158769556331,38.06426781456002);(-122.65153014179134,38.06438480983837);(-122.65147258783482,38.06450180508533);(-122.65144936096655,38.06459186381707);(-122.65143753371737,38.06468195634834) l(r:p7udm9904-p7ulv20610);(-122.65187541895179,38.063691850332695);(-122.65173892370902,38.06362835666121) l(r:p7udm9904-p7udt2494lv);(-122.65169127479717,38.06406082803373);(-122.65165741790088,38.063988625610406);(-122.65166907384585,38.063934583584185);(-122.65177226792783,38.0638087106882);(-122.65187541895179,38.063691850332695) l(r:p7udm11787-p7ulv11461);(-122.62222661031511,38.094378777516035);(-122.62242025074828,38.09442446340864) l(r:p7udm11788-p7ulv11469);(-122.6221832667414,38.09393701546972);(-122.62251380261768,38.093974128559935) l(r:p7udm11787-p7udm11788);(-122.62222707422856,38.0942886519288);(-122.62222744535781,38.094216551458004);(-122.62220496166269,38.0941533902192);(-122.62219388221206,38.094090265641356);(-122.62218280278003,38.0940271410619);(-122.6221832667414,38.09393701546972) l(r:p7udm11787-p7udt2930lv);(-122.6222148813136,38.09444182876336);(-122.62222661031511,38.094378777516035) l(r:p7udm11789-p7ulv11515);(-122.62214566692202,38.09459482225479);(-122.62233875133455,38.09474865898124) l(r:p7udm11791-p7ulv11556);(-122.6220769163435,38.094657690119945);(-122.62195170123637,38.09461222381596) l(r:p7udm11790-p7ulv11527);(-122.62194989062242,38.09496371356771);(-122.62187010666776,38.09495444415607) l(r:p7udm11790-p7udm11791);(-122.62201952353352,38.09472960718466);(-122.62199634356014,38.09480163427973);(-122.62197311711728,38.09488267392671);(-122.62194989062242,38.09496371356771) l(r:p7udm11789-p7udm11791);(-122.6220769163435,38.094657690119945) l(r:p7udm11789-p7udt2930lv);(-122.62214566692202,38.09459482225479) l(r:p7udt2933lv-p7ulv11464);(-122.61956591648438,38.09504614682082);(-122.61949786419798,38.09497382483613) l(r:p7udm11804-p7ulv11495);(-122.61964602720049,38.09499232991099);(-122.61974819931987,38.09508278763551) l(r:p7udm11807-p7ulv11612);(-122.6200006351559,38.094786184910824);(-122.61994389363336,38.09473192516977) l(r:p7udm11804-p7udm11807);(-122.619737542134,38.094938549850234);(-122.61982901024695,38.094893782272386);(-122.61992052492411,38.094840002066874);(-122.6200006351559,38.094786184910824) l(r:p7udm11804-p7udt2933lv);(-122.61964602720049,38.09499232991099) l(r:p7udm11805-p7ulv11570);(-122.61932553688276,38.09521660977034);(-122.61910936824644,38.095116769529305) l(r:p7udm11805-p7ulv11605);(-122.61932553688276,38.09521660977034);(-122.6195758258522,38.09533458548979) l(r:p7udm11805-p7udt2933lv);(-122.61947440129136,38.09509992674557);(-122.6193828859645,38.09515370659782);(-122.61932553688276,38.09521660977034) l(r:p7udm11810-p7ulv11563);(-122.62258283282806,38.096074310993814);(-122.62299404358237,38.09594945312575) l(r:p7udm11808-p7ulv11465);(-122.62260536377148,38.096128459588115);(-122.62266169127419,38.096263831053555) l(r:p7udm11808-p7ulv11535);(-122.62260536377148,38.096128459588115);(-122.62223981592419,38.09624445018655) l(r:p7udm11808-p7ulv11577);(-122.62260536377148,38.096128459588115);(-122.62293521512015,38.09630075987814) l(r:p7udm11808-p7udm11810);(-122.62260536377148,38.096128459588115) l(r:p7udm11810-p7udt2934lv);(-122.62258283282806,38.096074310993814) l(r:p7udm11812-p7ulv11607);(-122.6218910115618,38.09532403239108);(-122.6217883719848,38.09532370208589) l(r:p7udm11812-p7udt2934lv);(-122.6225379101076,38.0959389761216);(-122.62252678375658,38.09588486414809);(-122.62251565742162,38.095830752173114);(-122.62248186125271,38.095749529251236);(-122.62244792605861,38.095695343989554);(-122.62241399091438,38.09564115871785);(-122.62234588888177,38.095577850929125);(-122.62228895946569,38.09555964254323);(-122.62224348090113,38.09553245823509);(-122.62216374269106,38.09551417646341);(-122.62208405093193,38.095486882080976);(-122.6220043128119,38.09546860020143);(-122.62195883440985,38.095441415783554);(-122.62191349533639,38.09538719367786);(-122.6218910115618,38.09532403239108) l(r:p7udm11815-p7ulv11468);(-122.62228895946569,38.09555964254323);(-122.62220857167527,38.095667536596785) l(r:p7udm11817-p7ulv11501);(-122.62234588888177,38.095577850929125);(-122.62249470289764,38.09547017662109) l(r:p7udm11815-p7udm11817);(-122.6223501674447,38.095569496592084) l(r:p7udm11815-p7udt2936lv);(-122.62224665854508,38.09552380251746);(-122.62229583923441,38.0955524544918) l(r:p7udm11816-p7ulv11490);(-122.6220043128119,38.09546860020143);(-122.62163676928411,38.09597212885863) l(r:p7udm11818-p7ulv11586);(-122.62191484184343,38.095125829525465);(-122.62218836106224,38.09516276007142) l(r:p7udm11816-p7udm11818);(-122.62195195461877,38.095448603815264);(-122.62190398053961,38.095392162413646);(-122.62188003320362,38.09532647304666);(-122.62190283385401,38.0952429560738);(-122.6219031124495,38.09518888073162);(-122.62191484184343,38.095125829525465) l(r:p7udm11816-p7udt2936lv);(-122.62207951585081,38.09549515147989);(-122.62200113514187,38.095477255912456) l(r:p7udt2946lv-p7ulv11486);(-122.62419188501633,38.09588118840866);(-122.6242373183769,38.0959173845244) l(r:p7udt2951lv-p7ulv11503);(-122.61977059845262,38.0973630743158);(-122.62000991072638,38.09739989924253) l(r:p7udt2955lv-p7ulv11534);(-122.62184337028113,38.09350331214359);(-122.621708100327,38.093196444649315) l(r:p7udm11869-p7ulv11514);(-122.62220755967908,38.093648686894646);(-122.62239011862403,38.09363124824936) l(r:p7udm11869-p7udt2955lv);(-122.62191188782612,38.09348550719676);(-122.62198031249777,38.093485727329316);(-122.62206004846146,38.093504009219956);(-122.62211688342252,38.09354024283414);(-122.62217357924989,38.09360351410033);(-122.62220755967908,38.093648686894646) l(r:p7udm11871-p7ulv11552);(-122.62143040479452,38.093970643330024);(-122.6216463409506,38.094115542112085) l(r:p7udm11871-p7udt2955lv);(-122.62176340213911,38.09353009290376);(-122.62170614927646,38.09357497215421);(-122.62166016109698,38.09364692577084);(-122.6216142192924,38.093709866809085);(-122.62156827740871,38.09377280782828);(-122.6215222889689,38.09384476138717);(-122.62147634692126,38.09390770236813);(-122.62143040479452,38.093970643330024) l(r:p7udm11883-p7ulv11545);(-122.62093774387476,38.09441067784385);(-122.62081262303388,38.09434718521558) l(r:p7udm11881-p7ulv11524);(-122.62107524638803,38.094284943407544);(-122.62125729555736,38.094366644668156) l(r:p7udm11881-p7udm11883);(-122.62100649519034,38.09434781064645);(-122.62107524638803,38.094284943407544) l(r:p7udm11883-p7udt2958lv);(-122.6207888366162,38.09453637531271);(-122.62085758817726,38.09447350820508);(-122.62093774387476,38.09441067784385) l(r:p7udm11885-p7ulv11568);(-122.62058323353833,38.09459880059539);(-122.6206507741145,38.094770260081305) l(r:p7udm11884-p7ulv11548);(-122.62049181285461,38.0946345562105);(-122.6204237134163,38.09457124731062) l(r:p7udm11882-p7ulv11532);(-122.62029761355069,38.094697017819946);(-122.62021834312766,38.09458860917093) l(r:p7udm11882-p7udm11884);(-122.62038903440583,38.09466126235722);(-122.62029761355069,38.094697017819946) l(r:p7udm11884-p7udm11885);(-122.62049181285461,38.0946345562105) l(r:p7udm11885-p7udt2958lv);(-122.62058323353833,38.09459880059539) l(r:p7udm11898-p7ulv11580);(-122.61925552085106,38.095522814920514);(-122.61902724521123,38.095558125882654) l(r:p7udm11898-p7udt2966lv);(-122.61927800241257,38.09558597669773);(-122.61925552085106,38.095522814920514) l(r:p7udm11899-p7ulv11591);(-122.61937938025905,38.09582964814574);(-122.61925379078271,38.09585627940132) l(r:p7udm11899-p7udt2966lv);(-122.61934544732671,38.095775462000745);(-122.61937938025905,38.09582964814574) l(r:p7udt3155lv-p7ulv12379);(-122.64473774713386,38.10405653422174);(-122.64466279555091,38.10304688527526) l(r:p7udt3155lv-p7ulv12543);(-122.64473774713386,38.10405653422174);(-122.64549244509097,38.10366225484919) l(r:p7udt3165lv-p7ulv12397);(-122.64573471053565,38.10545651240323);(-122.6456897394351,38.105321186356804) l(r:p7udt3169lv-p7ulv12402);(-122.64388003850202,38.104522598128355);(-122.64390044418025,38.10501835831441) l(r:p7udt3176lv-p7ulv12606);(-122.64286439097091,38.1046276679039);(-122.64291905151798,38.105114519652545) l(r:p7udm12822-p7ulv12424);(-122.64305842232004,38.10460121939564);(-122.64299248698218,38.10408729531856) l(r:p7udm12822-p7udt3176lv);(-122.64305842232004,38.10460121939564) l(r:p7udt3207lv-p7ulv12509);(-122.64138048252774,38.104857478418495);(-122.6411995307897,38.1045414816224) l(r:p7udt3210lv-p7ulv12513) l(r:p7udt3215lv-p7ulv12523);(-122.64771870615877,38.1055976678851);(-122.64745723374546,38.10541662972783) l(r:p7udm13901-p7ulv13650);(-122.66645149060793,38.077154108598734);(-122.66633526301662,38.07764046687255) l(r:p7udm13901-p7udt3438lv);(-122.66645149060793,38.077154108598734) l(r:p7udm13902-p7ulv13732);(-122.66667935959217,38.07719080605286);(-122.66672488433456,38.0772089606451) l(r:p7udm13902-p7udt3438lv);(-122.66667935959217,38.07719080605286) l(r:p7udt3447lv-p7ulv13659) l(r:p7udt3456lv-p7ulv13671);(-122.67245041339608,38.074241837407165);(-122.67248276816265,38.07465651407602) l(r:p7udt3456lv-p7ulv13964);(-122.67245041339608,38.074241837407165);(-122.67238011671206,38.07466524072816) l(r:p7udm13954-p7ulv13962);(-122.67234784293667,38.074232538757734);(-122.67235590695799,38.074980619472335) l(r:p7udm13954-p7udt3456lv);(-122.67234784293667,38.074232538757734) l(r:p7udt3473lv-p7ulv13692);(-122.67008251410333,38.07598368765663);(-122.67011607071984,38.07612798580205) l(r:p7udt3473lv-p7ulv13771);(-122.67008251410333,38.07598368765663);(-122.67010381904599,38.07631721909692) l(r:p7udt3473lv-p7ulv13843);(-122.67008251410333,38.07598368765663);(-122.67005053678578,38.075487896772266) l(r:p7udm14042-p7ulv13693);(-122.66324998885453,38.07663125264531);(-122.66313386496421,38.07709056982462) l(r:p7udm14042-p7udt3474lv);(-122.66291959224793,38.076576229044996);(-122.66302212336797,38.0765945485548);(-122.66313605608238,38.076612900655164);(-122.66324998885453,38.07663125264531) l(r:p7udm14043-p7ulv13920);(-122.66236128990126,38.07649351177415);(-122.66238396864712,38.07652061515767) l(r:p7udm14043-p7udt3474lv);(-122.66269168570605,38.07654853694105);(-122.66258915473479,38.07653021714351);(-122.66247522228913,38.076511864513954);(-122.66236128990126,38.07649351177415) l(r:p7udt3481lv-p7ulv13704);(-122.66906105388504,38.074944349886984);(-122.66990369620716,38.07518105100939) l(r:p7udt3498lv-p7ulv13735);(-122.62815074820104,38.08659266166654);(-122.62824160747452,38.0866650508944) l(r:p7udt3500lv-p7ulv13744);(-122.66899783254546,38.07632312222135);(-122.66988698789606,38.076361674001795) l(r:p7udt3501lv-p7ulv13747) l(r:p7udt3513lv-p7ulv13775);(-122.66895543601723,38.0756109957995);(-122.66984502840633,38.075550408892475) l(r:p7udt3519lv-p7ulv13784);(-122.67369516213176,38.07892291554326);(-122.67293930410214,38.07966887217704) l(r:p7udt3519lv-p7ulv13971);(-122.67369516213176,38.07892291554326);(-122.67332929856452,38.07914721776151) l(r:p7udt3519lv-p7ulv32103);(-122.67369516213176,38.07892291554326);(-122.67340802991818,38.07939078063052) l(r:p7udt3524lv-p7ulv13795);(-122.66302212336797,38.0765945485548);(-122.66299890670668,38.07668460943234) l(r:p7udt3525lv-p7ulv13797);(-122.67082320461407,38.07607589198462);(-122.6706191908537,38.07580493798513) l(r:p7udt3525lv-p7ulv13922);(-122.67082320461407,38.07607589198462);(-122.6709934191105,38.076256623539784) l(r:p7udt3525lv-p7ulv13957);(-122.67082320461407,38.07607589198462);(-122.67084568439465,38.07614805692113) l(r:p7udt3540lv-p7ulv13844);(-122.66799075163735,38.07714945422588);(-122.66787730596593,38.077022955265605) l(r:p7udt3547lv-p7ulv13873) l(r:p7udt3557lv-p7ulv13913);(-122.6638993820095,38.07674126446013);(-122.66381816829458,38.077047465493564) l(r:p7udt3567lv-p7ulv13968);(-122.66434371344997,38.0768146362433);(-122.66416968111874,38.07747206940828) l(r:p7udt3681lv-p7ulv14313);(-122.68579849792157,38.10421856910899);(-122.68593548395236,38.10419189720964) l(r:p7udt3681lv-p7ulv14392);(-122.68579849792157,38.10421856910899);(-122.68582277625543,38.10387615114041) l(r:p7udt3853lv-p7ulv15007);(-122.61919356571829,38.103066243647916);(-122.61907020985342,38.10266027257629) l(r:p7udt3853lv-p7ulv15033);(-122.61919356571829,38.103066243647916);(-122.61917159658354,38.10290394395818) l(r:p7udm15287-p7ulv14907);(-122.61930771522137,38.10304858814506);(-122.61914116939346,38.10217381708269) l(r:p7udm15287-p7ulv15015);(-122.61930771522137,38.10304858814506);(-122.61919716759998,38.10237227786287) l(r:p7udm15294-p7ulv15028);(-122.61961589991915,38.10300452274876);(-122.61949328966745,38.10245435141211) l(r:p7udm15288-p7ulv14923);(-122.61980993503086,38.1029781126453);(-122.61974290284623,38.10270751491118) l(r:p7udm15288-p7udm15294);(-122.61970719134132,38.10299580555182);(-122.61980993503086,38.1029781126453) l(r:p7udm15287-p7udm15294);(-122.61941041232649,38.10303990813129);(-122.61951315614724,38.10302221548512);(-122.61961589991915,38.10300452274876) l(r:p7udm15287-p7udt3853lv);(-122.61930771522137,38.10304858814506) l(r:p7udm15289-p7ulv14955);(-122.6190908217611,38.10308393601378);(-122.61888931302214,38.10235325437838) l(r:p7udm15289-p7ulv14965);(-122.6190908217611,38.10308393601378);(-122.61910175946261,38.103174098406264) l(r:p7udm15286-p7ulv14905);(-122.61877123081928,38.103127963024654);(-122.61887285127919,38.1033265719365) l(r:p7udm15286-p7ulv14958);(-122.61877123081928,38.103127963024654);(-122.61872631093281,38.102992626872116) l(r:p7udm15296-p7ulv19033);(-122.61846304511378,38.10317202619915);(-122.6184410306957,38.10301873892557) l(r:p7udm15286-p7udm15296);(-122.61866853351991,38.103136642478574);(-122.61856578934126,38.103154334383945);(-122.61846304511378,38.10317202619915) l(r:p7udm15286-p7udm15289);(-122.61898812455884,38.10309261574762);(-122.61888538051664,38.10311030793331);(-122.61877123081928,38.103127963024654) l(r:p7udm15289-p7udt3853lv);(-122.6190908217611,38.10308393601378) l(r:p7udm15297-p7ulv14906);(-122.62307768979757,38.10408818898817);(-122.62289441008309,38.10424081669934) l(r:p7udm15300-p7ulv15023);(-122.6231344871238,38.10413343463504);(-122.62330608267779,38.1040348452477) l(r:p7udm15297-p7udm15300);(-122.6231344871238,38.10413343463504) l(r:p7udm15297-p7udt3854lv);(-122.62300953309854,38.1040338941757);(-122.62307768979757,38.10408818898817) l(r:p7udm15298-p7ulv14946);(-122.62270445139018,38.10347412795849);(-122.62288717366566,38.10342965106177) l(r:p7udm15298-p7udt3854lv);(-122.62293024868197,38.10392548745454);(-122.62285101082927,38.10380806813431);(-122.62281711801899,38.10374487048776);(-122.62278317892817,38.103690685375966);(-122.62276069191914,38.10362752432764);(-122.62272684561427,38.103555314108874);(-122.62270445139018,38.10347412795849) l(r:p7udm15299-p7ulv14991);(-122.62223333866962,38.104148566286774);(-122.62240308001671,38.10441047996978) l(r:p7udm15299-p7udt3854lv);(-122.62292073284125,38.10393045626);(-122.62279347252898,38.10390702306537);(-122.62270199492279,38.1039517928326);(-122.62261056356562,38.10398754998343);(-122.6225076799963,38.104032282964305);(-122.62241624844502,38.104068039962414);(-122.6223248168051,38.10410379688869);(-122.62223333866962,38.104148566286774) l(r:p7udt3855lv-p7ulv15029);(-122.62487830281749,38.10661750487597);(-122.62553112211882,38.10609685118718) l(r:p7udm15301-p7ulv14908);(-122.62477601619482,38.106545076757996);(-122.625143039203,38.10614968987572) l(r:p7udm15301-p7udt3855lv);(-122.62477601619482,38.106545076757996) l(r:p7udm15303-p7ulv14909);(-122.61844718677536,38.10402818051383);(-122.61861831981639,38.104019723403276) l(r:p7udm15303-p7ulv18993);(-122.61844718677536,38.10402818051383);(-122.61828731876952,38.104063712046916) l(r:p7udm15305-p7ulv14983);(-122.61842479715413,38.103946993578624);(-122.61926952302758,38.10381454332227) l(r:p7udm15306-p7ulv15005);(-122.61840240758177,38.103865806638275);(-122.6185963516875,38.103857423637564) l(r:p7udm15310-p7ulv19087);(-122.61836908115961,38.10369445724673);(-122.61820930764645,38.10371196359904) l(r:p7udm15306-p7udm15310);(-122.61839147064437,38.10377564419532);(-122.61836908115961,38.10369445724673) l(r:p7udm15305-p7udm15306);(-122.61840240758177,38.103865806638275) l(r:p7udm15303-p7udm15305);(-122.61842479715413,38.103946993578624) l(r:p7udm15303-p7udt3856lv);(-122.61844718677536,38.10402818051383) l(r:p7udm15311-p7ulv19155);(-122.61848060726102,38.10418150479596);(-122.61832097337421,38.10417197367453) l(r:p7udm15304-p7ulv14921);(-122.61848023231683,38.104253605112845);(-122.61866286535171,38.10422715989445) l(r:p7udm15304-p7ulv15018);(-122.61848023231683,38.104253605112845);(-122.61922188878471,38.104201934736174) l(r:p7udm15308-p7ulv15035);(-122.6184566708177,38.10439773167349);(-122.61862771101971,38.10440729963317) l(r:p7udm15304-p7udm15308);(-122.61847995110777,38.104307680349905);(-122.61846831096999,38.10435270601247);(-122.6184566708177,38.10439773167349) l(r:p7udm15304-p7udm15311);(-122.61848023231683,38.104253605112845) l(r:p7udm15311-p7udt3856lv);(-122.61848060726102,38.10418150479596) l(r:p7udm15312-p7ulv14910);(-122.62250521971693,38.106727069674385);(-122.62251593023976,38.10686229441696) l(r:p7udm15314-p7ulv15003);(-122.62273357488625,38.10668273965616);(-122.62268869191092,38.106538392510075) l(r:p7udm15312-p7udm15314);(-122.62258510928123,38.10671831362373);(-122.6226536390181,38.10670050834827);(-122.62273357488625,38.10668273965616) l(r:p7udm15312-p7udt3857lv);(-122.62240251778987,38.10673575236244);(-122.62250521971693,38.106727069674385) l(r:p7udm15313-p7ulv14993);(-122.62180944333463,38.10672483225621);(-122.62180846760167,38.106914095577054) l(r:p7udm15313-p7udt3857lv);(-122.62219720669636,38.10673509238959);(-122.62210595732361,38.10673479895318);(-122.62200330178086,38.106734468752514);(-122.62191205241095,38.10673417516553);(-122.62180944333463,38.10672483225621) l(r:p7udm15316-p7ulv14941);(-122.61961589991915,38.10300452274876);(-122.61966096162011,38.10311282094209) l(r:p7udm15315-p7ulv14911);(-122.61951315614724,38.10302221548512);(-122.61962515613058,38.10341913661928) l(r:p7udm15315-p7ulv14985);(-122.61951315614724,38.10302221548512);(-122.6195140909262,38.10284196463173) l(r:p7udm15315-p7udm15316);(-122.61951558474141,38.103031021424286) l(r:p7udm15316-p7udt3858lv);(-122.61970961995799,38.10300461148717);(-122.61961726824276,38.103013470275144) l(r:p7udm15317-p7ulv14960);(-122.61991263198155,38.10296943219165);(-122.61995718056804,38.1031768682452) l(r:p7udm15319-p7ulv15013);(-122.62019800484305,38.10292529147455);(-122.62010830019902,38.102627582573895) l(r:p7udm15317-p7udm15319);(-122.62000397000548,38.10295170222056);(-122.62010671356377,38.10293400905369);(-122.62019800484305,38.10292529147455) l(r:p7udm15317-p7udt3858lv);(-122.61991263198155,38.10296943219165) l(r:p7udt3859lv-p7ulv14912);(-122.62907139845582,38.10072750135186);(-122.62903677262564,38.10080850634035) l(r:p7udt3859lv-p7ulv14937);(-122.62907139845582,38.10072750135186);(-122.62911756610944,38.1006194946823) l(r:p7udm15322-p7ulv14914);(-122.62678332541485,38.104343360263066);(-122.62631678912469,38.10412557283828) l(r:p7udm15322-p7udt3860lv);(-122.6268864818591,38.10424454823997);(-122.62684062949144,38.10428946610049);(-122.62678332541485,38.104343360263066) l(r:p7udm15323-p7ulv15038);(-122.62711537639817,38.104092059050046);(-122.62652553395522,38.1034502844283) l(r:p7udm15323-p7udt3860lv);(-122.62703526112676,38.10414588094569);(-122.62711537639817,38.104092059050046) l(r:p7udt3861lv-p7ulv14915);(-122.62703526112676,38.10414588094569);(-122.62755727313676,38.104670272957655) l(r:p7udt3862lv-p7ulv15039);(-122.62159055448427,38.10272249236383);(-122.62158999668114,38.102830642904756) l(r:p7udm15325-p7ulv14917);(-122.62176173061715,38.102705018109944);(-122.62181713238812,38.1030206407481) l(r:p7udm15325-p7ulv14971);(-122.62176173061715,38.102705018109944);(-122.62177239278282,38.10284925554778) l(r:p7udm15325-p7udt3862lv);(-122.62167043978774,38.10271373690676);(-122.62176173061715,38.102705018109944) l(r:p7udm15327-p7ulv15019);(-122.62130518322193,38.1027666364731);(-122.6211928959118,38.10242379212504) l(r:p7udm15327-p7udt3862lv);(-122.6214992171192,38.102740223572454);(-122.6214193317674,38.102748978912814);(-122.62130518322193,38.1027666364731) l(r:p7udm15329-p7ulv14918);(-122.62460283387527,38.104723959973896);(-122.62475359937658,38.104237756130395) l(r:p7udm15329-p7udt3863lv);(-122.62460283387527,38.104723959973896) l(r:p7udm15330-p7ulv14927);(-122.62431801039001,38.10465996081364);(-122.62472302398182,38.10352565566715) l(r:p7udm15330-p7udt3863lv);(-122.62442052464009,38.104687326612286);(-122.62431801039001,38.10465996081364) l(r:p7udt3864lv-p7ulv14979);(-122.62420903302795,38.1058943510822);(-122.62400395520778,38.105848631563994) l(r:p7udm15331-p7ulv14919);(-122.62418580541103,38.10597539100792);(-122.62322871509166,38.10577404673386) l(r:p7udm15331-p7udt3864lv);(-122.62418580541103,38.10597539100792) l(r:p7udt3865lv-p7ulv15036);(-122.62198129723268,38.10436406032643);(-122.62169722104923,38.10415585413958) l(r:p7udm15333-p7ulv14920);(-122.62203865126465,38.10430115598134);(-122.62132231924728,38.103866240352275) l(r:p7udm15333-p7udt3865lv);(-122.62203865126465,38.10430115598134) l(r:p7udm15334-p7ulv14988);(-122.6218546256025,38.10459798273473);(-122.62071609193838,38.10419775470087) l(r:p7udm15334-p7udt3865lv);(-122.62193530247067,38.10443601388445);(-122.62190066699465,38.1045170166685);(-122.6218546256025,38.10459798273473) l(r:p7udt3866lv-p7ulv14922);(-122.62122810099063,38.10665085815625);(-122.62123866954785,38.106813120620124) l(r:p7udm15337-p7ulv14924);(-122.62385920284933,38.100710932365764);(-122.62341370476513,38.10084469592637) l(r:p7udm15337-p7udt3867lv);(-122.62393802328981,38.10090946401303);(-122.62390417705484,38.10083725410047);(-122.62388168993293,38.100774093235515);(-122.62385920284933,38.100710932365764) l(r:p7udm15338-p7ulv14978);(-122.62389175544676,38.10103549370179);(-122.62408555299444,38.10105413924502) l(r:p7udm15338-p7udt3867lv);(-122.62389175544676,38.10103549370179) l(r:p7udm15340-p7ulv14987);(-122.62174616784964,38.10129898743686);(-122.6217457032288,38.10138911291129) l(r:p7udm15339-p7ulv14925);(-122.62164361286591,38.10128063186671);(-122.62168988472119,38.10115460308951) l(r:p7udm15341-p7ulv14997);(-122.62124498485575,38.10117119526812);(-122.62117608770507,38.101261100140405) l(r:p7udm15339-p7udm15341);(-122.62155246325419,38.10126231294108);(-122.6214499548698,38.10123494465496);(-122.62134744656254,38.10120757627971);(-122.62124498485575,38.10117119526812) l(r:p7udm15339-p7udm15340);(-122.62164361286591,38.10128063186671) l(r:p7udm15340-p7udt3868lv);(-122.62174616784964,38.10129898743686) l(r:p7udm15343-p7ulv15049);(-122.62215648112826,38.10135438372887);(-122.62209917594049,38.10140827562795) l(r:p7udm15342-p7ulv15045);(-122.62222477392946,38.101381641400955);(-122.62240786231344,38.10126506482294) l(r:p7udm15342-p7udm15343);(-122.62222477392946,38.101381641400955) l(r:p7udm15343-p7udt3868lv);(-122.6219172477415,38.101299538029814);(-122.6219970385948,38.10130880743571);(-122.62208823479808,38.10131811346939);(-122.62215648112826,38.10135438372887) l(r:p7udt3869lv-p7ulv15040);(-122.62692267569777,38.10161295597106);(-122.62697411245526,38.10271266831293) l(r:p7udm15344-p7ulv14926);(-122.62737879943471,38.10163242868348);(-122.62731989560737,38.102001762480924) l(r:p7udm15344-p7udt3869lv);(-122.62702532413252,38.10161328183702);(-122.62710516180513,38.10161353522629);(-122.62718499947874,38.10161378856137);(-122.62727624253553,38.10161407802072);(-122.62737879943471,38.10163242868348) l(r:p7udm15345-p7ulv14945);(-122.62681998142538,38.10162164256773);(-122.626863998765,38.10193722677031) l(r:p7udm15346-p7ulv15030);(-122.62661463867444,38.10163000293933);(-122.62649746504968,38.10224249396033) l(r:p7udm15345-p7udm15346);(-122.62671733298136,38.10162131652233);(-122.62661463867444,38.10163000293933) l(r:p7udm15345-p7udt3869lv);(-122.62681998142538,38.10162164256773) l(r:p7udt3870lv-p7ulv14928);(-122.62030739642151,38.10382691436197);(-122.6207414193484,38.10371115104385) l(r:p7udt3870lv-p7ulv14972);(-122.62030739642151,38.10382691436197);(-122.62011289256523,38.10394345069031) l(r:p7udt3870lv-p7ulv14974);(-122.62030739642151,38.10382691436197);(-122.62006787636827,38.103826140137535) l(r:p7udm15351-p7ulv14929);(-122.62002601747955,38.10089687890205);(-122.62018578444422,38.10087937003638) l(r:p7udm15353-p7ulv14992);(-122.62001563904126,38.10069856599788);(-122.61977617591138,38.100688778685885) l(r:p7udm15351-p7udm15353);(-122.62002643753208,38.100815765984464);(-122.62001531232598,38.100761653823966);(-122.62001563904126,38.10069856599788) l(r:p7udm15351-p7udt3871lv);(-122.62011651298485,38.10104137465678);(-122.62003704937051,38.10096901615296);(-122.62002601747955,38.10089687890205) l(r:p7udm15352-p7ulv14934);(-122.6198653632839,38.1010856259242);(-122.6199331881293,38.101203010381695) l(r:p7udm15352-p7udt3871lv);(-122.62012007538546,38.101049936368874);(-122.62003662932739,38.10105012906852);(-122.61994529368228,38.101067859083294);(-122.6198653632839,38.1010856259242) l(r:p7udm15354-p7ulv15046);(-122.62072113281641,38.10101628970215);(-122.62069776317098,38.10112436663367) l(r:p7udm15354-p7udt3871lv);(-122.62027628024389,38.101023865670044);(-122.6203789277761,38.101024197337715);(-122.62047017002837,38.101024492078146);(-122.62055000700019,38.101024749917904);(-122.62064124925496,38.101025044525485);(-122.62072113281641,38.10101628970215) l(r:p7udm15355-p7ulv14930);(-122.6216818649007,38.104921883526934);(-122.62219448014824,38.105049710563804) l(r:p7udm15358-p7ulv14989);(-122.62163586949166,38.104993836957526);(-122.62184033947892,38.10515672363824) l(r:p7udm15355-p7udm15358);(-122.62163586949166,38.104993836957526) l(r:p7udm15355-p7udt3872lv);(-122.6216818649007,38.104921883526934) l(r:p7udm15357-p7ulv14976);(-122.62180858410811,38.10467894878125);(-122.62170611733568,38.10464256816658) l(r:p7udm15356-p7ulv14939);(-122.6218546256025,38.10459798273473);(-122.62210513625368,38.10467990289775) l(r:p7udm15356-p7udm15357);(-122.62184422168247,38.10459428885111) l(r:p7udm15357-p7udt3872lv);(-122.62176254251152,38.1047599148081);(-122.62180858410811,38.10467894878125) l(r:p7udt3873lv-p7ulv14950);(-122.62818798810555,38.101752157021174);(-122.62819811474047,38.10200454460211) l(r:p7udt3873lv-p7ulv15014);(-122.62818798810555,38.101752157021174);(-122.62802433723867,38.10253574374286) l(r:p7udm15359-p7ulv14931);(-122.62834775507878,38.101734637059884);(-122.62842599541264,38.1020503289236) l(r:p7udm15359-p7udt3873lv);(-122.6282678716015,38.10174339706774);(-122.62834775507878,38.101734637059884) l(r:p7udt3874lv-p7ulv14932);(-122.62350944373517,38.10441403012723);(-122.62330265827717,38.10470177353407) l(r:p7udt3875lv-p7ulv14933);(-122.62133559457693,38.10571388491816);(-122.62110780017996,38.10565006175731) l(r:p7udm15364-p7ulv14935);(-122.62145067781756,38.10551597654968);(-122.6215997458519,38.105363240965104) l(r:p7udm15364-p7ulv15050);(-122.62145067781756,38.10551597654968);(-122.62151869523177,38.10559730987591) l(r:p7udm15364-p7udt3875lv);(-122.62135873221885,38.10565087064924);(-122.62138182330673,38.10559686891541);(-122.62145067781756,38.10551597654968) l(r:p7udm15365-p7ulv15010);(-122.62097916782719,38.10626250979994);(-122.62105868462059,38.10632585509744) l(r:p7udm15365-p7udt3875lv);(-122.62131250341756,38.105767886641445);(-122.62126664669539,38.10581280229224);(-122.621209383883,38.105857681154745);(-122.62117497962213,38.10589362099837);(-122.62112916928247,38.10592952405394);(-122.62109453219932,38.10601052657136);(-122.62104848896888,38.10609149229151);(-122.62101380515878,38.10618150732129);(-122.62097916782719,38.10626250979994) l(r:p7udm15367-p7ulv14936);(-122.62444061427524,38.105219139662005);(-122.62457720881923,38.105273652322076) l(r:p7udm15367-p7udt3876lv);(-122.62444061427524,38.105219139662005) l(r:p7udm15368-p7ulv14962);(-122.62448706840206,38.105057059677556);(-122.62416788750711,38.10501998842479) l(r:p7udm15368-p7udt3876lv);(-122.62448706840206,38.105057059677556) l(r:p7udm15370-p7ulv14954);(-122.61873212036211,38.10626425263559);(-122.61860487278905,38.10660632182698) l(r:p7udm15369-p7ulv14938);(-122.61848156127195,38.10619133783118);(-122.61851652938807,38.106047248344765) l(r:p7udm15369-p7udm15370);(-122.61865237140609,38.10624596845742);(-122.61857262249023,38.10622768422527);(-122.61848156127195,38.10619133783118) l(r:p7udm15370-p7udt3877lv);(-122.61873212036211,38.10626425263559) l(r:p7udm15371-p7ulv15031);(-122.61939315948939,38.10636553532815);(-122.61946215716453,38.10625760654134) l(r:p7udm15371-p7udt3877lv);(-122.61896005499376,38.10630104278401);(-122.61906261629377,38.10631940071424);(-122.6191766305378,38.10632878298668);(-122.61929059803983,38.1063471776858);(-122.61939315948939,38.10636553532815) l(r:p7udm15372-p7ulv14940);(-122.61912411302455,38.101065200198335);(-122.61916776947258,38.10144387494999) l(r:p7udm15374-p7ulv15034);(-122.61862176515413,38.10116271048904);(-122.61870010350543,38.10145137100355) l(r:p7udm15372-p7udm15374);(-122.61902146544993,38.10106486743423);(-122.61893012954783,38.10108259665847);(-122.61882734149073,38.101109301359095);(-122.6187245533595,38.10113600596929);(-122.61862176515413,38.10116271048904) l(r:p7udm15372-p7udt3878lv);(-122.61912411302455,38.101065200198335) l(r:p7udm15373-p7ulv15008);(-122.61964870949085,38.10107591213803);(-122.61969367665213,38.101202235439395) l(r:p7udm15373-p7udt3878lv);(-122.6193408134654,38.10106590240589);(-122.61944341430083,38.10107524743651);(-122.61954606189498,38.101075579832106);(-122.61964870949085,38.10107591213803) l(r:p7udm15375-p7ulv14942);(-122.6218530678766,38.1026872866966);(-122.62178570319014,38.10247977789691) l(r:p7udm15375-p7udt3879lv);(-122.62214984404687,38.10264317792972);(-122.6220585069122,38.10266090957456);(-122.62195576419325,38.10267860445341);(-122.6218530678766,38.1026872866966) l(r:p7udm15377-p7ulv14949);(-122.62254945508995,38.1025633480088);(-122.62250448218813,38.10243702579939) l(r:p7udm15376-p7ulv14943);(-122.62261575578728,38.10297814493727);(-122.6224673903909,38.102995693726164) l(r:p7udm15376-p7udm15377);(-122.62256039697233,38.10265351010925);(-122.62258279079391,38.1027346962963);(-122.62259377910148,38.10281584584532);(-122.6226047674326,38.10289699539229);(-122.62261575578728,38.10297814493727) l(r:p7udm15377-p7udt3879lv);(-122.6223553756352,38.102598775195126);(-122.6224467126226,38.10258104331856);(-122.62254945508995,38.1025633480088) l(r:p7udm15378-p7ulv14944);(-122.62350909155363,38.102259995038274);(-122.62354293804343,38.10233220504853) l(r:p7udm15382-p7ulv15042);(-122.62405784753166,38.10200939652126);(-122.62432963995099,38.10238879902909) l(r:p7udm15378-p7udm15382);(-122.62360056655454,38.10221522461616);(-122.62369199521976,38.10217946667012);(-122.62378347000944,38.10213469610391);(-122.62387494468786,38.102089925465556);(-122.62396637306448,38.10205416730373);(-122.62405784753166,38.10200939652126) l(r:p7udm15378-p7udt3880lv);(-122.62350909155363,38.102259995038274) l(r:p7udm15381-p7ulv14995);(-122.6233604039576,38.10234063279946);(-122.62339443538264,38.102376792660834) l(r:p7udm15379-p7ulv14953);(-122.62329173964844,38.102385476165004);(-122.62298569000504,38.102014974052764) l(r:p7udm15380-p7ulv14956);(-122.62299473363156,38.102474650562165);(-122.6231078628008,38.102655267389025) l(r:p7udm15379-p7udm15380);(-122.62321166975173,38.10243028291699);(-122.62310892762582,38.10244797880799);(-122.62299473363156,38.102474650562165) l(r:p7udm15379-p7udm15381);(-122.62329173964844,38.102385476165004) l(r:p7udm15381-p7udt3880lv);(-122.6233604039576,38.10234063279946) l(r:p7udt3881lv-p7ulv14947);(-122.62792737986062,38.10366202374976);(-122.62820276091406,38.10333843839666) l(r:p7udt3881lv-p7ulv14982);(-122.62792737986062,38.10366202374976);(-122.62845642369986,38.10279847899356) l(r:p7udm15385-p7ulv15017);(-122.62756143664194,38.103850131001934);(-122.62747078571972,38.103732678605034) l(r:p7udm15385-p7udt3881lv);(-122.62783590566086,38.103706797533604);(-122.6277444313498,38.10375157124531);(-122.62765291118689,38.103805357434645);(-122.62756143664194,38.103850131001934) l(r:p7udt3882lv-p7ulv14948);(-122.61860049513025,38.105254404238146);(-122.61885151939116,38.10523719340063) l(r:p7udt3882lv-p7ulv14964);(-122.61860049513025,38.105254404238146);(-122.61902134471669,38.105481086793596) l(r:p7udt3882lv-p7ulv14980);(-122.61860049513025,38.105254404238146);(-122.61869080574418,38.10543495114911) l(r:p7udt3882lv-p7ulv19036);(-122.61860049513025,38.105254404238146);(-122.61838354792818,38.10529876328961) l(r:p7udm15387-p7ulv14951);(-122.6185666990524,38.10517318032049);(-122.6188293630978,38.10511094386203) l(r:p7udm15387-p7udt3882lv);(-122.6185666990524,38.10517318032049) l(r:p7udm15391-p7ulv14952);(-122.62149957442418,38.10046001249545);(-122.62141992406059,38.10042370511011) l(r:p7udm15393-p7ulv14984);(-122.62156837745502,38.10038813251268);(-122.62184154449498,38.10049716432539) l(r:p7udm15391-p7udm15393);(-122.62156837745502,38.10038813251268) l(r:p7udm15391-p7udt3883lv);(-122.62144217646458,38.10053192918029);(-122.62149957442418,38.10046001249545) l(r:p7udm15392-p7ulv14981);(-122.62136164240069,38.10066686017231);(-122.62128180581077,38.10066660288604) l(r:p7udm15394-p7ulv15026);(-122.62136071223335,38.10084711113121);(-122.62128054981814,38.10090994167698) l(r:p7udm15392-p7udm15394);(-122.62134991160605,38.10072991125661);(-122.62134958603762,38.100792999092086);(-122.62136071223335,38.10084711113121) l(r:p7udm15392-p7udt3883lv);(-122.62136164240069,38.10066686017231) l(r:p7udm15396-p7ulv14990);(-122.62599888579976,38.101601006591075);(-122.62604299146383,38.1018985659819) l(r:p7udm15396-p7udt3884lv);(-122.62559955959775,38.101626772997335);(-122.62569084863215,38.10161805113677);(-122.62579354302497,38.10160936552761);(-122.6258962373942,38.10160067982856);(-122.62599888579976,38.101601006591075) l(r:p7udm15397-p7ulv35650);(-122.62539417069667,38.10164414378615);(-122.62538345554793,38.10150891916209) l(r:p7udm15395-p7ulv14957);(-122.62496048969759,38.10169683658214);(-122.62503802451582,38.10214771887317) l(r:p7udm15395-p7udm15397);(-122.62530288159641,38.101652865415836);(-122.62520014104985,38.101670563146);(-122.62510885189488,38.10167928462461);(-122.62502896810953,38.1016880424289);(-122.62496048969759,38.10169683658214) l(r:p7udm15397-p7udt3884lv);(-122.62539417069667,38.10164414378615) l(r:p7udt3885lv-p7ulv15041);(-122.62063174298473,38.10286360377942);(-122.62069947749288,38.10299901286178) l(r:p7udm15398-p7ulv14959);(-122.62041489731752,38.10288994155583);(-122.6203040598639,38.10226770751179) l(r:p7udm15398-p7udt3885lv);(-122.62052899964792,38.102881297406775);(-122.62041489731752,38.10288994155583) l(r:p7udm15399-p7ulv14999);(-122.62074589183784,38.1028459468753);(-122.62063439923561,38.10234988875202) l(r:p7udm15399-p7udt3885lv);(-122.62074589183784,38.1028459468753) l(r:p7udm15401-p7ulv14963);(-122.62287068052255,38.10663811635042);(-122.62257685617979,38.10610542423096) l(r:p7udm15401-p7udt3886lv);(-122.62287068052255,38.10663811635042) l(r:p7udm15403-p7ulv15000);(-122.62313279064735,38.10668402078346);(-122.62314470610237,38.106584919418815) l(r:p7udm15402-p7ulv14968);(-122.6235079914819,38.106919553524065);(-122.62337074688404,38.106991215192) l(r:p7udm15402-p7udm15403);(-122.62322390109944,38.106711351044346);(-122.62332632523979,38.10675674288244);(-122.62341725079193,38.10682012315691);(-122.6235079914819,38.106919553524065) l(r:p7udm15403-p7udt3886lv);(-122.62313279064735,38.10668402078346) l(r:p7udm15404-p7ulv14966);(-122.62656523885195,38.104613048159145);(-122.62742837596048,38.10534581628208) l(r:p7udm15404-p7udt3887lv);(-122.62645072148216,38.104702811081886);(-122.62650798020208,38.10465792963481);(-122.62656523885195,38.104613048159145) l(r:p7udm15405-p7ulv14994);(-122.62615348020145,38.10483705613334);(-122.62621911445306,38.10538703917371) l(r:p7udm15405-p7udt3887lv);(-122.62631343798873,38.104783488797544);(-122.62623345912411,38.10481027249287);(-122.62615348020145,38.10483705613334) l(r:p7udt3888lv-p7ulv14967);(-122.6203789277761,38.101024197337715);(-122.62041137184565,38.10136678463231) l(r:p7udt3889lv-p7ulv14969) l(r:p7udt3890lv-p7ulv14975);(-122.62792737986062,38.10366202374976);(-122.6287917963889,38.104142430591786) l(r:p7udt3890lv-p7ulv14986);(-122.62792737986062,38.10366202374976);(-122.62861108326261,38.103790364454504) l(r:p7udt3890lv-p7ulv15004);(-122.62792737986062,38.10366202374976);(-122.62911575981471,38.103233171384275) l(r:p7udt3890lv-p7ulv15037);(-122.62792737986062,38.10366202374976);(-122.62929560878737,38.10375647526548) l(r:p7udt3891lv-p7ulv14977);(-122.61964870949085,38.10107591213803);(-122.61966750237703,38.10185106484942) l(r:p7udt3892lv-p7ulv14996);(-122.62662280223616,38.10002576845608);(-122.62651955966984,38.10014260545609) l(r:p7udm15414-p7ulv14998);(-122.61843151548248,38.10484828454539);(-122.61861400945861,38.104848877029724) l(r:p7udm15414-p7ulv19078);(-122.61843151548248,38.10484828454539);(-122.6182603804886,38.10485674137232) l(r:p7udm15417-p7ulv19169);(-122.61846531126373,38.10492950850483);(-122.6183053006262,38.10499207766151) l(r:p7udm15414-p7udm15417);(-122.61846531126373,38.10492950850483) l(r:p7udm15414-p7udt3893lv);(-122.61843151548248,38.10484828454539) l(r:p7udm15416-p7ulv19100);(-122.61841006318605,38.10458684684074);(-122.618261974945,38.10455031505887) l(r:p7udm15416-p7udt3893lv);(-122.6183866420778,38.1047039357616);(-122.61839837608088,38.10464088503266);(-122.61841006318605,38.10458684684074) l(r:p7udm15418-p7ulv15001);(-122.6226376862118,38.103149456566754);(-122.62343658812922,38.103052879905434) l(r:p7udm15418-p7udt3894lv);(-122.62264867463838,38.10323060610554);(-122.6226376862118,38.103149456566754) l(r:p7udm15419-p7ulv15011);(-122.62268201086442,38.103401954348215);(-122.62241930976275,38.10347321203139) l(r:p7udm15419-p7udt3894lv);(-122.62268201086442,38.103401954348215) l(r:p7udt3895lv-p7ulv15002);(-122.62756143664194,38.103850131001934);(-122.62699458674692,38.10317238079813) l(r:p7udt3896lv-p7ulv15012);(-122.62233771397523,38.10159830917473);(-122.62217738901732,38.10172397152736) l(r:p7udm15422-p7ulv15020);(-122.62089848681089,38.10642447792163);(-122.62071603546393,38.10641487642628) l(r:p7udm15422-p7udt3897lv);(-122.62038469834063,38.106521958677725);(-122.62048726031605,38.106540315367255);(-122.62060122848567,38.10655870879794);(-122.62070379056817,38.1065770652989);(-122.62081775885086,38.10659545852001);(-122.62085239652058,38.1065144560953);(-122.62089848681089,38.10642447792163) l(r:p7udm15423-p7ulv15044);(-122.61994018589449,38.10645743288726);(-122.61999787011663,38.10633144181347) l(r:p7udm15423-p7udt3897lv);(-122.62015676228562,38.10648517128698);(-122.62005415379383,38.10647582684743);(-122.61994018589449,38.10645743288726) l(r:p7udt3898lv-p7ulv15021);(-122.62163586949166,38.104993836957526);(-122.62117940081086,38.105037429649805) l(r:p7udt3899lv-p7ulv15022);(-122.62569084863215,38.10161805113677);(-122.62578917622405,38.102465557831316) l(r:p7udt3900lv-p7ulv15024);(-122.62737844144368,38.10394869046939);(-122.62762753807976,38.10430998790949) l(r:p7udt3901lv-p7ulv15025);(-122.62417799839302,38.103046240888986);(-122.62456560435683,38.10308353075553) l(r:p7udt3901lv-p7ulv15047);(-122.62417799839302,38.103046240888986);(-122.62380179842827,38.103008986262566) l(r:p7udm15429-p7ulv15027);(-122.61831331865423,38.10345093342021);(-122.61841601643322,38.10344225427977) l(r:p7udm15429-p7ulv19229);(-122.61831331865423,38.10345093342021);(-122.61822197961033,38.10346866207012) l(r:p7udm15429-p7udt3902lv);(-122.61826858699132,38.10327954694029);(-122.61829097624198,38.1033607339125);(-122.61831331865423,38.10345093342021) l(r:p7udm15430-p7ulv15032);(-122.61856578934126,38.103154334383945);(-122.61839779901203,38.10255895114227) l(r:p7udm15430-p7udt3902lv);(-122.61846183215646,38.10316306469124);(-122.61856336085728,38.10314552842589) l(r:p7udt3903lv-p7ulv19002);(-122.61810782301511,38.10129623173131);(-122.61806285853311,38.101169907839264) l(r:p7udm15432-p7ulv15048);(-122.61821056469499,38.10127854020757);(-122.61831161850358,38.10158530016796) l(r:p7udm15432-p7udt3903lv);(-122.61821056469499,38.10127854020757) l(r:p7udm15434-p7ulv19197);(-122.6179023864431,38.10132260196488);(-122.61794598979426,38.10171028966411) l(r:p7udm15434-p7udt3903lv);(-122.61800512820795,38.1013049106213);(-122.6179023864431,38.10132260196488) l(r:p7udt4033lv-p7ulv15548);(-122.6094988391672,38.08588324812376);(-122.6098748958804,38.08592956069561) l(r:p7udt4033lv-p7ulv15553);(-122.6094988391672,38.08588324812376);(-122.6087837214664,38.0852680048954) l(r:p7udt4033lv-p7ulv15554);(-122.6094988391672,38.08588324812376);(-122.61005399158945,38.086561045297216) l(r:p7udt4033lv-p7ulv15556);(-122.6094988391672,38.08588324812376);(-122.60950995445064,38.08593736136291) l(r:p7udt4033lv-p7ulv35029);(-122.6094988391672,38.08588324812376);(-122.6097821395373,38.08621765969381) l(r:p7udt4033lv-p7ulv35059);(-122.6094988391672,38.08588324812376);(-122.60898839617096,38.085376838684795) l(r:p7udt4034lv-p7ulv15549);(-122.6085491295025,38.08649295327539);(-122.60869770487793,38.08643035906532) l(r:p7udt4034lv-p7ulv35334);(-122.6085491295025,38.08649295327539);(-122.60841195689204,38.086555585292466) l(r:p7udt4035lv-p7ulv15550);(-122.610938185725,38.085401335274724);(-122.61100660277344,38.085401561756484) l(r:p7udt4035lv-p7ulv15557);(-122.610938185725,38.085401335274724);(-122.6109048847603,38.085229983366744) l(r:p7udt4035lv-p7ulv34945);(-122.610938185725,38.085401335274724);(-122.61091452026751,38.085563485859076) l(r:p7udm15998-p7ulv15551);(-122.61053549844603,38.09037501999319);(-122.61046659847848,38.090464918735485) l(r:p7udm15998-p7udt4036lv);(-122.61036487481785,38.09029333999505);(-122.6104445086965,38.09032965484773);(-122.61053549844603,38.09037501999319) l(r:p7udm15999-p7ulv15555);(-122.60979565303764,38.0901111970735);(-122.6098864505138,38.090192612927716) l(r:p7udm15999-p7udt4036lv);(-122.6101829437097,38.09019359681835);(-122.61009200227866,38.09013921884857);(-122.61000101309726,38.090093853360976);(-122.60979565303764,38.0901111970735) l(r:p7udt4037lv-p7ulv15552) l(r:p7udt4083lv-p7ulv15670);(-122.61615905929537,38.105354606378484);(-122.61615976661975,38.1052194183457) l(r:p7udm16238-p7ulv15738);(-122.61591944000129,38.10537184874192);(-122.61585152341492,38.105272487135025) l(r:p7udm16239-p7ulv18983);(-122.61628207344302,38.10582366800255);(-122.61625874281795,38.10592273138514) l(r:p7udm16238-p7udm16239);(-122.61583926798083,38.105434675475976);(-122.6157933137353,38.10549761404618);(-122.61578153015034,38.1055696770278);(-122.61576974654164,38.10564174000722);(-122.61575796290919,38.10571380298447);(-122.615746179253,38.10578586595952);(-122.61584878619963,38.10579521415417);(-122.61596279919189,38.10580459953945);(-122.6160654061939,38.10581394754518);(-122.61617946639815,38.10581432018582);(-122.61628207344302,38.10582366800255) l(r:p7udm16238-p7udt4083lv);(-122.61604499980614,38.105354233721826);(-122.61597651693606,38.1053630226099);(-122.61591944000129,38.10537184874192) l(r:p7udm16240-p7ulv19367);(-122.6162617128375,38.10535494167481);(-122.61623828804832,38.10547203013258) l(r:p7udm16240-p7udt4083lv);(-122.6162617128375,38.10535494167481) l(r:p7udt4084lv-p7ulv15702);(-122.6163167635939,38.10355258327427);(-122.6161252250217,38.1031013230527) l(r:p7udm16241-p7ulv15671);(-122.61643096164177,38.10352591803683);(-122.61638609296647,38.1033815684818) l(r:p7udm16241-p7udt4084lv);(-122.61643096164177,38.10352591803683) l(r:p7udm16242-p7ulv15694);(-122.6162140182823,38.103570273115835);(-122.61627019814756,38.10373268505538) l(r:p7udm16242-p7udt4084lv);(-122.6162140182823,38.103570273115835) l(r:p7udm16247-p7ulv15711);(-122.6134103607108,38.10315551119877);(-122.61359242316838,38.103237224283184) l(r:p7udm16244-p7ulv15672);(-122.61343355180702,38.10308348595911);(-122.6135139131912,38.102984610693724) l(r:p7udm16244-p7ulv15676);(-122.61343355180702,38.10308348595911);(-122.61325177495793,38.10294769741345) l(r:p7udm16244-p7ulv15678);(-122.61343355180702,38.10308348595911);(-122.61361632606798,38.10303001096492) l(r:p7udm16244-p7ulv15750);(-122.61343355180702,38.10308348595911);(-122.61381102747491,38.10287743526793) l(r:p7udm16244-p7udm16247);(-122.61343355180702,38.10308348595911) l(r:p7udm16247-p7udt4085lv);(-122.6134103607108,38.10315551119877) l(r:p7udm16249-p7ulv15735);(-122.61289587221519,38.103388147361365);(-122.61291939673823,38.103253034483075) l(r:p7udm16249-p7ulv19162);(-122.61289587221519,38.103388147361365);(-122.61286075171468,38.10355927279196) l(r:p7udm16248-p7ulv15726);(-122.61279331659614,38.103369784069436);(-122.6128628442129,38.10316272125276) l(r:p7udm16248-p7udm16249);(-122.61279331659614,38.103369784069436) l(r:p7udm16249-p7udt4085lv);(-122.61335252525792,38.10330853666627);(-122.61332933400928,38.10338056188637);(-122.61329468956129,38.10346156210002);(-122.61320353938483,38.10344323670135);(-122.61310098360954,38.10342487367731);(-122.61299842788634,38.103406510563985);(-122.61289587221519,38.103388147361365) l(r:p7udm16252-p7ulv19556);(-122.61322426012617,38.10383986332725);(-122.61339544075658,38.1038224012894) l(r:p7udm16252-p7udt4085lv);(-122.61336357882234,38.103310758937);(-122.61334038758383,38.1033827841593);(-122.61330549489018,38.10346444772378);(-122.61328290386832,38.10353362484462);(-122.61325966497009,38.10361466258251);(-122.61323642601975,38.103695700314375);(-122.61321323453167,38.10376772550555);(-122.61322426012617,38.10383986332725) l(r:p7udt4086lv-p7ulv15723);(-122.61503961252555,38.103494329854364);(-122.61479971515357,38.10356564519205) l(r:p7udm16254-p7ulv15686);(-122.61499446284222,38.10340405500535);(-122.61530477807,38.102954436741406) l(r:p7udm16254-p7ulv15687);(-122.61499446284222,38.10340405500535);(-122.61509933588425,38.10298080203659) l(r:p7udm16254-p7ulv15724);(-122.61499446284222,38.10340405500535);(-122.61490411650082,38.10323251779022) l(r:p7udm16254-p7ulv15739);(-122.61499446284222,38.10340405500535);(-122.61523431223328,38.10334175180177) l(r:p7udm16254-p7udt4086lv);(-122.6150170376701,38.10344919243212);(-122.61499446284222,38.10340405500535) l(r:p7udm16260-p7ulv19095);(-122.61450108410213,38.10396122425089);(-122.61462451145219,38.104349174835356) l(r:p7udm16260-p7ulv19121);(-122.61450108410213,38.10396122425089);(-122.61458026135918,38.10408766166426) l(r:p7udm16253-p7ulv15673);(-122.61439829042415,38.10398792503382);(-122.61426350657503,38.10359092421928) l(r:p7udm16256-p7ulv15714);(-122.61407850319917,38.104067989379374);(-122.61401092222351,38.10390553895893) l(r:p7udm16253-p7udm16256);(-122.61429549667203,38.10401462572627);(-122.61418129710357,38.10404128887781);(-122.61407850319917,38.104067989379374) l(r:p7udm16253-p7udm16260);(-122.61439829042415,38.10398792503382) l(r:p7udm16260-p7udt4086lv);(-122.61507340393224,38.10357555478474);(-122.61510714813161,38.10366579224158);(-122.61512958127172,38.103737967256);(-122.61515201445542,38.10381014226548);(-122.6150492212216,38.103836843620954);(-122.61493502219808,38.1038635075088);(-122.61483222881198,38.10389020867336);(-122.61471802962818,38.10391687234921);(-122.61461528343216,38.103934560786904);(-122.61450108410213,38.10396122425089) l(r:p7udt4087lv-p7ulv15746);(-122.61445589564917,38.10170790276727);(-122.61447804343196,38.10183415315799) l(r:p7udm16262-p7ulv15674);(-122.61439886875026,38.10170771564122);(-122.61440956367134,38.10184294115464) l(r:p7udm16266-p7ulv19096);(-122.61382746133945,38.10192214377993);(-122.61395244667192,38.1020126814156) l(r:p7udm16262-p7udm16266);(-122.61429626771131,38.10169836620553);(-122.61418226132275,38.10168897923194);(-122.6140223489965,38.101733517531414);(-122.61396503756951,38.101787405426556);(-122.6139191788824,38.101832318229555);(-122.61388472554441,38.10187726849259);(-122.61382746133945,38.10192214377993) l(r:p7udm16262-p7udt4087lv);(-122.61439886875026,38.10170771564122) l(r:p7udm16263-p7ulv15727);(-122.61452432792855,38.101708127282);(-122.61451344340992,38.101608951932725) l(r:p7udm16265-p7ulv15753);(-122.6147526248187,38.101672825218365);(-122.61480903660082,38.10179017518685) l(r:p7udm16263-p7udm16265);(-122.61459280755003,38.10169933921752);(-122.61467269253284,38.101690588514934);(-122.6147526248187,38.101672825218365) l(r:p7udm16263-p7udt4087lv);(-122.61452432792855,38.101708127282) l(r:p7udt4088lv-p7ulv15675);(-122.61423091549767,38.10111232649414);(-122.61439025796045,38.10117593837879) l(r:p7udt4088lv-p7ulv15690);(-122.61423091549767,38.10111232649414);(-122.61440194744058,38.10112190056648) l(r:p7udm16269-p7ulv19130);(-122.61413763515611,38.10149956610696);(-122.6138985497092,38.10141766649537) l(r:p7udm16269-p7udt4088lv);(-122.61421908373431,38.10119340190819);(-122.61419579924258,38.10128345241263);(-122.61418396741124,38.10136452782043);(-122.61416077760829,38.10143655323615);(-122.61413763515611,38.10149956610696) l(r:p7udm16270-p7ulv19213);(-122.61428926850186,38.100860162574996);(-122.61444870518558,38.100905749299706) l(r:p7udm16270-p7udt4088lv);(-122.61425419989024,38.1010222759796);(-122.614277436846,38.10094123799896);(-122.61428926850186,38.100860162574996) l(r:p7udt4089lv-p7ulv19294);(-122.61651843264977,38.10206614719991);(-122.61651673684149,38.10239059866155) l(r:p7udm16271-p7ulv15677);(-122.61640442538739,38.1020567623784);(-122.61623108107578,38.102488805680984) l(r:p7udm16274-p7ulv19362);(-122.61613324114555,38.10156919124816);(-122.61631544413939,38.101623862506955) l(r:p7udm16271-p7udm16274);(-122.6163019178541,38.10202938960919);(-122.61622231553712,38.101984066174225);(-122.61618833499077,38.10193889171227);(-122.61615435448614,38.101893717240365);(-122.61610915724046,38.10181245533383);(-122.61610939301644,38.10176739262961);(-122.61612145855811,38.10164125431722);(-122.61613324114555,38.10156919124816) l(r:p7udm16271-p7udt4089lv);(-122.61640442538739,38.1020567623784) l(r:p7udm16272-p7ulv18982);(-122.61696220963678,38.102265873942805);(-122.6168135619733,38.102337490840455) l(r:p7udm16272-p7udt4089lv);(-122.61662112868797,38.10205746961699);(-122.61672382470263,38.10204879194417);(-122.61682652069375,38.10204011418145);(-122.61686040755407,38.10210331354275);(-122.61689429447239,38.1021665128939);(-122.61692827555933,38.102211687152824);(-122.61696220963678,38.102265873942805) l(r:p7udt4090lv-p7ulv19112);(-122.61453917797503,38.1053943669461);(-122.61445999939806,38.105267929526015) l(r:p7udm16276-p7ulv15712);(-122.61464197357787,38.1053676660566);(-122.6146301415418,38.105448741452065) l(r:p7udm16276-p7ulv15742);(-122.61464197357787,38.1053676660566);(-122.61460822918696,38.10527742849118) l(r:p7udm16275-p7ulv15679);(-122.61476753367059,38.1053500524032);(-122.61479086611806,38.10525098931685) l(r:p7udm16275-p7udm16276);(-122.61469905065775,38.10535884054593);(-122.61476753367059,38.1053500524032) l(r:p7udm16276-p7udt4090lv);(-122.61464197357787,38.1053676660566) l(r:p7udm16279-p7ulv19201);(-122.61442502370923,38.10541201778369);(-122.61436752017786,38.10550195596095) l(r:p7udm16279-p7udt4090lv);(-122.61442502370923,38.10541201778369) l(r:p7udt4092lv-p7ulv19143);(-122.61094909262454,38.10270576579964);(-122.61077820091997,38.102669149085116) l(r:p7udm16281-p7ulv15681);(-122.61085703574948,38.10285867672841);(-122.61075476828317,38.102786236460645) l(r:p7udm16281-p7ulv19040);(-122.61085703574948,38.10285867672841);(-122.61099352011375,38.10293123019093) l(r:p7udm16281-p7udt4092lv);(-122.61090304033704,38.10278672754068);(-122.61085703574948,38.10285867672841) l(r:p7udm16284-p7ulv19150);(-122.61099514480976,38.10262480403889);(-122.61115429664112,38.10272447043438) l(r:p7udm16285-p7ulv19170);(-122.61108720110028,38.10247189299269);(-122.6112012082826,38.10248128297244) l(r:p7udm16284-p7udm16285);(-122.61104114911375,38.1025528547927);(-122.61108720110028,38.10247189299269) l(r:p7udm16284-p7udt4092lv);(-122.61099514480976,38.10262480403889) l(r:p7udt4095lv-p7ulv19149);(-122.61526106162057,38.10476584460365);(-122.61457718376501,38.104673476454835) l(r:p7udm16292-p7ulv15684);(-122.6152491831049,38.10485593260917);(-122.61506668918308,38.10485533489881) l(r:p7udm16295-p7ulv15737);(-122.61519087737364,38.10509908429936);(-122.6153619187435,38.10510865701828) l(r:p7udm16292-p7udm16295);(-122.61522594594386,38.10493697072707);(-122.61521411462621,38.10501804619112);(-122.61519087737364,38.10509908429936) l(r:p7udm16292-p7udt4095lv);(-122.6152491831049,38.10485593260917) l(r:p7udm16293-p7ulv15704);(-122.61528429868704,38.10468480647595);(-122.61485101858472,38.10465634898069) l(r:p7udm16294-p7ulv15717);(-122.6153545293499,38.10434255416043);(-122.61516048908433,38.104368956872484) l(r:p7udm16293-p7udm16294);(-122.6152961298703,38.104603730999536);(-122.61531941410206,38.10451368032638);(-122.61533124521729,38.104432604843716);(-122.6153545293499,38.10434255416043) l(r:p7udm16293-p7udt4095lv);(-122.61528429868704,38.10468480647595) l(r:p7udt4097lv-p7ulv15695);(-122.61609529268712,38.104462141730664);(-122.6160371315877,38.10467825626472) l(r:p7udm16299-p7ulv15688);(-122.6162093507901,38.10446251433116);(-122.61622136949707,38.10434538860488) l(r:p7udm16299-p7udt4097lv);(-122.6162093507901,38.10446251433116) l(r:p7udm16301-p7ulv15732);(-122.6158786294853,38.10445242094821);(-122.61591331874787,38.10436240743694) l(r:p7udm16301-p7udt4097lv);(-122.61599268757028,38.10445279375893);(-122.6158786294853,38.10445242094821) l(r:p7udm16306-p7ulv19319);(-122.61354667023603,38.105427157572066);(-122.61337577087492,38.105390544611346) l(r:p7udm16303-p7ulv18920);(-122.61345433036702,38.10563414566881);(-122.61363668379506,38.105661783490525) l(r:p7udm16304-p7ulv19113);(-122.6134078990197,38.10578720861146);(-122.61356758319812,38.10578773388859) l(r:p7udm16304-p7ulv19373);(-122.6134078990197,38.10578720861146);(-122.61329402892598,38.1057507831537) l(r:p7udm16303-p7udm16304);(-122.61343113846333,38.10570617087707);(-122.6134078990197,38.10578720861146) l(r:p7udm16303-p7udm16306);(-122.61351212000261,38.10549013275289);(-122.61347756970947,38.105553107922574);(-122.61345433036702,38.10563414566881) l(r:p7udm16306-p7udt4098lv);(-122.61354667023603,38.105427157572066) l(r:p7udm16307-p7ulv19325);(-122.61362717646114,38.10530124468336);(-122.61377497916877,38.105391857494936) l(r:p7udm16302-p7ulv15689);(-122.61368453836096,38.105238344468326);(-122.61390058693576,38.10536523223642) l(r:p7udm16302-p7ulv19154);(-122.61368453836096,38.105238344468326);(-122.61369727309054,38.10498603103904) l(r:p7udm16302-p7ulv19372);(-122.61368453836096,38.105238344468326);(-122.61362855296805,38.10503988122592) l(r:p7udm16302-p7ulv19390);(-122.61368453836096,38.105238344468326);(-122.61399392103763,38.104968980566) l(r:p7udm16302-p7udm16307);(-122.61368453836096,38.105238344468326) l(r:p7udm16307-p7udt4098lv);(-122.61362717646114,38.10530124468336) l(r:p7udt4099lv-p7ulv15691);(-122.63165418773319,38.099699165444434);(-122.63168745299781,38.099888536454955) l(r:p7udt4099lv-p7ulv15696);(-122.63165418773319,38.099699165444434);(-122.63162087739018,38.09951880697968) l(r:p7udm16314-p7ulv15693);(-122.6125882055142,38.10333305721774);(-122.61244050362771,38.1032244178423) l(r:p7udm16314-p7ulv19192);(-122.6125882055142,38.10333305721774);(-122.61239354797888,38.103476618322155) l(r:p7udm16314-p7udt4101lv);(-122.61279079593345,38.103378573835975);(-122.61269076102914,38.103351420688234);(-122.6125882055142,38.10333305721774) l(r:p7udm16315-p7ulv15697);(-122.61325966497009,38.10361466258251);(-122.61353330621796,38.10363358829744) l(r:p7udm16315-p7ulv19074);(-122.61325966497009,38.10361466258251);(-122.61311167636052,38.10356009925782) l(r:p7udm16315-p7udt4101lv);(-122.61300094852515,38.103397720792884);(-122.61310350423676,38.10341608390399);(-122.61320606000041,38.10343444692578);(-122.61330031428409,38.103444093420755);(-122.61329421556235,38.10353477995943);(-122.61327078873637,38.103616654321506) l(r:p7udt4102lv-p7ulv15699);(-122.61520003410463,38.10117859300318);(-122.61501792763588,38.10110589493956) l(r:p7udm16319-p7ulv15734);(-122.61514210942417,38.101349644512446);(-122.61535871611089,38.10136837914934) l(r:p7udm16320-p7ulv15741);(-122.61506142105075,38.101511608721715);(-122.61493634059067,38.10143909733548) l(r:p7udm16319-p7udm16320);(-122.61511882601248,38.10143969520318);(-122.61510723156262,38.10147570800592);(-122.61506142105075,38.101511608721715) l(r:p7udm16319-p7udt4102lv);(-122.61517675082003,38.1012686437087);(-122.61514210942417,38.101349644512446) l(r:p7udm16321-p7ulv19345);(-122.61535052360321,38.1007554890154);(-122.61520272822361,38.100664878153715) l(r:p7udm16321-p7udt4102lv);(-122.61522331733119,38.10108854229138);(-122.61524655323984,38.10100750411439);(-122.61526983635326,38.10091745339014);(-122.61531588264586,38.10083648987976);(-122.61535052360321,38.1007554890154) l(r:p7udm16324-p7ulv15730);(-122.61537823866658,38.10417139064375);(-122.61518429340822,38.104179768325835) l(r:p7udm16322-p7ulv15700);(-122.61555931408586,38.10444236395299);(-122.61554847502173,38.104334176199785) l(r:p7udm16322-p7udm16324);(-122.61536636039718,38.10426147867152);(-122.6153658601617,38.10434358653703);(-122.61544530326414,38.10443297818562);(-122.61555931408586,38.10444236395299) l(r:p7udm16324-p7udt4103lv);(-122.61540152265593,38.10408133994641);(-122.61537823866658,38.10417139064375) l(r:p7udm16325-p7ulv19383);(-122.61515201445542,38.10381014226548);(-122.6151857588571,38.10390037969726) l(r:p7udm16323-p7ulv15710);(-122.61578008358725,38.10366799460463);(-122.61584809299097,38.10374933119642) l(r:p7udm16323-p7udm16325);(-122.61525480761509,38.10378344081958);(-122.61535760070062,38.10375673928323);(-122.615460393712,38.10373003765643);(-122.61557454511666,38.10371238579256);(-122.61567733798843,38.103685683975016);(-122.61578008358725,38.10366799460463) l(r:p7udm16325-p7udt4103lv);(-122.61542523177341,38.1039101764116);(-122.6154485156195,38.10382012570019);(-122.61535005443432,38.10376349732198);(-122.61525836957752,38.10379200267277);(-122.6151555764071,38.1038187041217) l(r:p7udt4104lv-p7ulv19137);(-122.61462706419562,38.10603455611003);(-122.61446785309984,38.1059439069434) l(r:p7udt4104lv-p7ulv19245);(-122.61462706419562,38.10603455611003);(-122.61476341622391,38.10613414280412) l(r:p7udm16326-p7ulv15701);(-122.61473047597781,38.105890692222346);(-122.61452549892961,38.10582693112619) l(r:p7udm16326-p7udt4104lv);(-122.61467306711681,38.10596260547599);(-122.61473047597781,38.105890692222346) l(r:p7udm16329-p7ulv19351);(-122.61451219857243,38.10618739501329);(-122.61420522984555,38.10599712107494) l(r:p7udm16329-p7udt4104lv);(-122.61456960776823,38.1061154818428);(-122.61451219857243,38.10618739501329) l(r:p7udt4108lv-p7ulv19108);(-122.61625002871301,38.10104683636755);(-122.61642106079192,38.10105640750166) l(r:p7udm16336-p7ulv15709);(-122.616191635141,38.10130801382928);(-122.61605495994664,38.10127151653968) l(r:p7udm16336-p7udt4108lv);(-122.61622674675851,38.101136887290245);(-122.61621491719461,38.101217962916685);(-122.616191635141,38.10130801382928) l(r:p7udm16337-p7ulv18955);(-122.61626228242362,38.100884647853846);(-122.61643340835414,38.10087619388322) l(r:p7udm16337-p7udt4108lv);(-122.61626190534395,38.10095674819258);(-122.61626228242362,38.100884647853846) l(r:p7udt4111lv-p7ulv15718);(-122.61510723156262,38.10147570800592);(-122.61534608232547,38.101602667867404) l(r:p7udm16342-p7ulv15719);(-122.61576974654164,38.10564174000722);(-122.61561001541654,38.1056502302589) l(r:p7udm16344-p7ulv19157);(-122.61578153015034,38.1055696770278);(-122.61589563715884,38.10556103740715) l(r:p7udm16342-p7udm16344);(-122.61577021810163,38.10556852215096) l(r:p7udm16342-p7udt4112lv);(-122.61552951219495,38.10577614451487);(-122.61563211909878,38.10578549289852);(-122.61574622645955,38.1057768534252);(-122.61574665083866,38.105712648105275);(-122.61575843448202,38.10564058512921) l(r:p7udm16345-p7ulv19280);(-122.61521023836366,38.105757074008835);(-122.61522102984978,38.10587427429913) l(r:p7udm16343-p7ulv15747);(-122.61504080346631,38.10544107491088);(-122.61516589081985,38.10551358617357) l(r:p7udm16343-p7udm16345);(-122.61509622554777,38.10574768789416);(-122.61499361876908,38.105738339043114);(-122.61491377671969,38.10573807740095);(-122.61489134319751,38.105665902367775);(-122.61493739293172,38.105584939089354);(-122.61499480123598,38.105513025698976);(-122.61504080346631,38.10544107491088) l(r:p7udm16345-p7udt4112lv);(-122.61531289245752,38.10575741013707);(-122.61521023836366,38.105757074008835) l(r:p7udt4119lv-p7ulv15752);(-122.61659253247555,38.105347008928184);(-122.61660473912858,38.10519383303343) l(r:p7udt4119lv-p7ulv18951);(-122.61659253247555,38.105347008928184);(-122.6168204160789,38.10539281574814) l(r:p7udt4119lv-p7ulv19037);(-122.61659253247555,38.105347008928184);(-122.61664866741211,38.105518433185004) l(r:p7udm16354-p7ulv15744);(-122.61647847299233,38.10534663669237);(-122.6164905856551,38.10521148588272) l(r:p7udm16354-p7udt4119lv);(-122.61647847299233,38.10534663669237) l(r:p7udt4120lv-p7ulv15745);(-122.61488972584148,38.10162821116318);(-122.61519511834253,38.1021158971735) l(r:p7udt4708lv-p7ulv18318);(-122.67733653666451,38.072795287098536);(-122.67740426951795,38.07294868983645) l(r:p7udt4718lv-p7ulv18344);(-122.6803954866166,38.07200150983686);(-122.68042839513257,38.072299020244074) l(r:p7udm19537-p7ulv18916);(-122.60914168965674,38.1037001762929);(-122.60924501282092,38.10357434227778) l(r:p7udm19539-p7ulv19286);(-122.60931243894065,38.10376383298146);(-122.6092662401804,38.10387183164418) l(r:p7udm19537-p7udm19539);(-122.60923279110675,38.10372751736851);(-122.60931243894065,38.10376383298146) l(r:p7udm19537-p7udt4835lv);(-122.60914168965674,38.1037001762929) l(r:p7udm19538-p7ulv19178);(-122.60895948696202,38.10364549393049);(-122.60887897459513,38.10377140362299) l(r:p7udm19540-p7ulv19287);(-122.60876621529783,38.10352768559873);(-122.60862963590057,38.10347315462295) l(r:p7udm19538-p7udm19540);(-122.60885693199889,38.10362712720707);(-122.60876621529783,38.10352768559873) l(r:p7udm19538-p7udt4835lv);(-122.60895948696202,38.10364549393049) l(r:p7udm19541-p7ulv18917);(-122.60929581889715,38.102601140577214);(-122.60942094368002,38.1026646454016) l(r:p7udm19543-p7ulv18974);(-122.60923840733405,38.1026730512009);(-122.60937498570567,38.10272758147087) l(r:p7udm19543-p7ulv19117);(-122.60923840733405,38.1026730512009);(-122.60905606304105,38.102645406588465) l(r:p7udm19551-p7ulv19324);(-122.60918099565804,38.10274496179505);(-122.60901010476712,38.10270834251358) l(r:p7udm19550-p7ulv19302);(-122.60907752950253,38.10289783337239);(-122.60919129703758,38.10295228796214) l(r:p7udm19550-p7udm19551);(-122.60913498941412,38.102816910300035);(-122.60907752950253,38.10289783337239) l(r:p7udm19543-p7udm19551);(-122.60918099565804,38.10274496179505) l(r:p7udm19541-p7udm19543);(-122.60923840733405,38.1026730512009) l(r:p7udm19541-p7udt4836lv);(-122.60934182484678,38.102529192005015);(-122.60929581889715,38.102601140577214) l(r:p7udm19549-p7ulv19267);(-122.60944528992705,38.10237632017954);(-122.60930885612832,38.10229475239289) l(r:p7udm19546-p7ulv19167);(-122.60950270105353,38.10230440944878);(-122.60928652514096,38.10220455121702) l(r:p7udm19546-p7ulv19230);(-122.60950270105353,38.10230440944878);(-122.60967349536408,38.10235905307883) l(r:p7udm19542-p7ulv18940);(-122.60956011206711,38.10223249868847);(-122.60972084312837,38.1020347534159) l(r:p7udm19542-p7ulv19159);(-122.60956011206711,38.10223249868847);(-122.60982186239251,38.10234152045205) l(r:p7udm19542-p7ulv19177);(-122.60956011206711,38.10223249868847);(-122.60957271649055,38.10200722325174) l(r:p7udm19542-p7udm19546);(-122.60956011206711,38.10223249868847) l(r:p7udm19546-p7udm19549);(-122.60950270105353,38.10230440944878) l(r:p7udm19549-p7udt4836lv);(-122.60944528992705,38.10237632017954) l(r:p7udm19552-p7ulv18918);(-122.61537428783703,38.107100501580234);(-122.61559053373036,38.107191336118184) l(r:p7udm19552-p7ulv19032);(-122.61537428783703,38.107100501580234);(-122.6155226631639,38.10708296181326) l(r:p7udm19553-p7ulv18936);(-122.61531470458891,38.10758699157674);(-122.61545143839284,38.10761447721784) l(r:p7udm19552-p7udm19553);(-122.61535114459669,38.10716351462532);(-122.6153165950772,38.10722649032205);(-122.61531626424419,38.107289578043236);(-122.61531593341013,38.10735266576372);(-122.6153041963067,38.10741571613927);(-122.61531512995172,38.10750587879635);(-122.61531470458891,38.10758699157674) l(r:p7udm19552-p7udt4837lv);(-122.61537428783703,38.107100501580234) l(r:p7udm19554-p7ulv18954);(-122.61534243208683,38.106649762951434);(-122.61519438852665,38.1066042147696) l(r:p7udm19554-p7udt4837lv);(-122.61532932459865,38.10697417677309);(-122.61532974993592,38.106893063984124);(-122.61533017527152,38.10681195119402);(-122.61533060060538,38.10673083840279);(-122.61534243208683,38.106649762951434) l(r:p7udm19556-p7ulv19191);(-122.61547902243474,38.1067042861533);(-122.61561570743515,38.10674078413158) l(r:p7udm19556-p7udt4837lv);(-122.61542076319131,38.10693842534506);(-122.61543259460865,38.10685734988715);(-122.61545578492425,38.10678532428939);(-122.61547902243474,38.1067042861533) l(r:p7udm19557-p7ulv18919);(-122.60760966499423,38.10438003455545);(-122.60754079636897,38.1044609187491) l(r:p7udm19561-p7ulv32429);(-122.60713168377416,38.104180158229276);(-122.60709698384763,38.104270169092636) l(r:p7udm19557-p7udm19561);(-122.60751861156679,38.10434367969556);(-122.60741615245566,38.104307286658006);(-122.6073250992154,38.10427093164871);(-122.60723409431544,38.10422556404154);(-122.60713168377416,38.104180158229276) l(r:p7udm19557-p7udt4838lv);(-122.6077120761129,38.10442543995271);(-122.60760966499423,38.10438003455545) l(r:p7udm19560-p7ulv19281);(-122.60789418345509,38.10449814930348);(-122.60802046591544,38.10434535493634) l(r:p7udm19558-p7ulv18950);(-122.60808779326693,38.10455287136058);(-122.60803033082843,38.10463379388322) l(r:p7udm19559-p7ulv19228);(-122.60846418512341,38.104554126174676);(-122.60841933153839,38.10440977368427) l(r:p7udm19558-p7udm19559);(-122.60817899164138,38.10456218819677);(-122.60827028628535,38.10455347990586);(-122.6083729386106,38.104553822088036);(-122.60846418512341,38.104554126174676) l(r:p7udm19558-p7udm19560);(-122.60799659491629,38.10454355445373);(-122.60808779326693,38.10455287136058) l(r:p7udm19560-p7udt4838lv);(-122.60789418345509,38.10449814930348) l(r:p7udt4839lv-p7ulv18921);(-122.60942770840086,38.10139387819913);(-122.6094087839031,38.10066378705193) l(r:p7udm19563-p7ulv18963);(-122.60958738294207,38.10139440883384);(-122.60956538758317,38.10124111995401) l(r:p7udm19563-p7ulv19128);(-122.60958738294207,38.10139440883384);(-122.6096337714379,38.101250359849296) l(r:p7udm19563-p7udt4839lv);(-122.60950754567094,38.1013941435436);(-122.60958738294207,38.10139440883384) l(r:p7udm19565-p7ulv18976);(-122.60933636983482,38.10141159995031);(-122.60928006310071,38.10127622232719) l(r:p7udm19564-p7ulv18972);(-122.6091765032364,38.10144711911035);(-122.60922140455412,38.10158245884899) l(r:p7udm19564-p7udm19565);(-122.60924507922296,38.10142030909613);(-122.6091765032364,38.10144711911035) l(r:p7udm19565-p7udt4839lv);(-122.60933636983482,38.10141159995031) l(r:p7udt4840lv-p7ulv18922);(-122.61764253743893,38.10522425278385);(-122.61774425161143,38.10540483752617) l(r:p7udt4840lv-p7ulv18942);(-122.61764253743893,38.10522425278385);(-122.6174479316699,38.10535880970459) l(r:p7udm19569-p7ulv19253);(-122.61758644737444,38.10504381644473);(-122.61774631785143,38.10500828588432) l(r:p7udm19570-p7ulv19268);(-122.61756405840676,38.104962629362944);(-122.61738142315713,38.104989072858295) l(r:p7udm19569-p7udm19570);(-122.61756405840676,38.104962629362944) l(r:p7udm19569-p7udt4840lv);(-122.61760878941429,38.10513401605868);(-122.61758644737444,38.10504381644473) l(r:p7udm19582-p7ulv19005);(-122.61137638778251,38.10601483693569);(-122.61118258055161,38.10599617039355) l(r:p7udm19583-p7ulv19045);(-122.61137614906329,38.106059899582085);(-122.61109109332149,38.10604093105328) l(r:p7udm19580-p7ulv18924);(-122.6113758625995,38.106113974757314);(-122.61121522247527,38.1062936970749) l(r:p7udm19580-p7ulv19224);(-122.6113758625995,38.106113974757314);(-122.61162670051269,38.10613282949974) l(r:p7udm19580-p7ulv19272);(-122.6113758625995,38.106113974757314);(-122.61139757666709,38.106321338372844) l(r:p7udm19580-p7udm19583);(-122.6113758625995,38.106113974757314) l(r:p7udm19582-p7udm19583);(-122.61137614906329,38.106059899582085) l(r:p7udm19582-p7udt4842lv);(-122.61137638778251,38.10601483693569) l(r:p7udm19584-p7ulv19069);(-122.61146849532817,38.10585291316807);(-122.61130924081304,38.10577127227153) l(r:p7udm19584-p7ulv19122);(-122.61146849532817,38.10585291316807);(-122.6116279410498,38.10589850373059) l(r:p7udm19581-p7ulv18964);(-122.61159529761326,38.10560097713579);(-122.61172033409983,38.10568250457788) l(r:p7udm19581-p7ulv19310);(-122.61159529761326,38.10560097713579);(-122.61141299287112,38.10556432362056) l(r:p7udm19581-p7udm19584);(-122.611514596674,38.10576293872486);(-122.61154924419164,38.105681939084256);(-122.61159529761326,38.10560097713579) l(r:p7udm19584-p7udt4842lv);(-122.61146849532817,38.10585291316807) l(r:p7udm19597-p7ulv18927);(-122.61017631068489,38.106470508626);(-122.61044981814604,38.1065164791485) l(r:p7udm19597-p7ulv19054);(-122.61017631068489,38.106470508626);(-122.61044948313614,38.10657956684102) l(r:p7udm19597-p7ulv19139);(-122.61017631068489,38.106470508626);(-122.61005002899783,38.1066233052594) l(r:p7udm19597-p7udt4845lv);(-122.61017631068489,38.106470508626) l(r:p7udm19601-p7ulv19299);(-122.61017765165269,38.106218157855345);(-122.61034855130184,38.106254775464315) l(r:p7udm19598-p7ulv19011);(-122.61029386686725,38.1058129724478);(-122.61046471777817,38.10585860241405) l(r:p7udm19598-p7udm19601);(-122.61017813056571,38.10612803257748);(-122.6102127318447,38.10605604587294);(-122.61023597490018,38.105975008793756);(-122.61027062392853,38.10589400954131);(-122.61029386686725,38.1058129724478) l(r:p7udm19601-p7udt4845lv);(-122.61017722062914,38.106299270604254);(-122.61017765165269,38.106218157855345) l(r:p7udt4846lv-p7ulv18928);(-122.61285166789389,38.10528066666137);(-122.61270315286767,38.10532524067241) l(r:p7udm19603-p7ulv18935);(-122.61287490778572,38.105199629032434);(-122.61269260338277,38.10516297750199) l(r:p7udm19603-p7ulv19148);(-122.61287490778572,38.105199629032434);(-122.61302304213397,38.10522715506012) l(r:p7udm19606-p7ulv19212);(-122.61290955353344,38.10511862897342);(-122.6126928412857,38.10511791484164) l(r:p7udm19603-p7udm19606);(-122.61290955353344,38.10511862897342) l(r:p7udm19603-p7udt4846lv);(-122.61287490778572,38.105199629032434) l(r:p7udm19604-p7ulv19107);(-122.61291943775028,38.10540706757607);(-122.61270220127102,38.10550549130547) l(r:p7udm19607-p7ulv19371);(-122.61297632487607,38.10543429303126);(-122.6129413937456,38.10556936829546) l(r:p7udm19604-p7udm19607);(-122.61297632487607,38.10543429303126) l(r:p7udm19604-p7udt4846lv);(-122.61287409929147,38.10535284207729);(-122.61291943775028,38.10540706757607) l(r:p7udm19612-p7ulv19104);(-122.61228429872935,38.10688305423087);(-122.61228463216499,38.10681996652789) l(r:p7udm19609-p7ulv18969);(-122.61213568480792,38.1069456525507);(-122.6121807854593,38.107044940965196) l(r:p7udm19611-p7ulv19060);(-122.61176978046117,38.10711568515502);(-122.61187215030569,38.10717009946782) l(r:p7udm19609-p7udm19611);(-122.6120442446272,38.106981401413535);(-122.61195275668314,38.10702616273287);(-122.6118612686278,38.10707092398004);(-122.61176978046117,38.10711568515502) l(r:p7udm19609-p7udm19612);(-122.61221571871441,38.10690986597236);(-122.61213568480792,38.1069456525507) l(r:p7udm19612-p7udt4847lv);(-122.61236428487182,38.10685628007925);(-122.61228429872935,38.10688305423087) l(r:p7udm19613-p7ulv19180);(-122.6125128032079,38.10681170652595);(-122.61257078602803,38.10663164399847) l(r:p7udm19608-p7ulv18929);(-122.61264948690248,38.106848207947316);(-122.61261507800073,38.10688414525352) l(r:p7udm19614-p7ulv19350);(-122.61283222356153,38.10680374679022);(-122.61291197163816,38.10682203491244) l(r:p7udm19610-p7ulv19047);(-122.61287827628645,38.10672278435055);(-122.61275323673922,38.106641258138666) l(r:p7udm19610-p7udm19614);(-122.61285527371848,38.10675875930797);(-122.61287827628645,38.10672278435055) l(r:p7udm19608-p7udm19614);(-122.61276359624374,38.10683957138289);(-122.61283222356153,38.10680374679022) l(r:p7udm19608-p7udm19613);(-122.61258114503804,38.10682995725645);(-122.61264948690248,38.106848207947316) l(r:p7udm19613-p7udt4847lv);(-122.6125128032079,38.10681170652595) l(r:p7udt4848lv-p7ulv18930);(-122.61224201397471,38.10193494793587);(-122.61272242087209,38.10167516415566) l(r:p7udt4848lv-p7ulv19129);(-122.61224201397471,38.10193494793587);(-122.61208190935513,38.10201553372868) l(r:p7udm19618-p7ulv19190);(-122.6121853203761,38.101871671978934);(-122.61234547218555,38.10178207350407) l(r:p7udm19616-p7ulv18971);(-122.6118671133531,38.10165431666884);(-122.61171798507064,38.10181605247028) l(r:p7udm19616-p7udm19618);(-122.61212857923081,38.10181740853106);(-122.61208314825517,38.10178120778228);(-122.61203771732436,38.101745007015936);(-122.61194671259828,38.10169964304039);(-122.6118671133531,38.10165431666884) l(r:p7udm19618-p7udt4848lv);(-122.6121853203761,38.101871671978934) l(r:p7udm19619-p7ulv19343);(-122.61233206678426,38.102160562411505);(-122.61248052800761,38.10212500134868) l(r:p7udm19619-p7udt4848lv);(-122.61229861242363,38.10201624893823);(-122.61232104230582,38.10208842449153);(-122.61233206678426,38.102160562411505) l(r:p7udm19620-p7ulv18931);(-122.61246821810663,38.10229620192392);(-122.61251312601331,38.10243154042066) l(r:p7udm19621-p7ulv19153);(-122.61286769484616,38.102243442581);(-122.61291255591073,38.10238779346661) l(r:p7udm19620-p7udm19621);(-122.61257096250633,38.102278515349184);(-122.61266225381442,38.1022698036243);(-122.61276495056497,38.10226112941596);(-122.61286769484616,38.102243442581) l(r:p7udm19620-p7udt4849lv);(-122.6122627767895,38.10232256226697);(-122.61236552127413,38.10230487587243);(-122.61246821810663,38.10229620192392) l(r:p7udm19622-p7ulv19241);(-122.61205733532773,38.10234892224992);(-122.61206802601461,38.10248414793898) l(r:p7udm19624-p7ulv19368);(-122.61184048824133,38.102375244196445);(-122.61191946852539,38.10253773354395) l(r:p7udm19623-p7ulv19339);(-122.61174919670988,38.10238395528148);(-122.61170443333434,38.10222157889301) l(r:p7udm19623-p7udm19624);(-122.61174919670988,38.10238395528148) l(r:p7udm19622-p7udm19624);(-122.61194318523054,38.1023665707078);(-122.61184048824133,38.102375244196445) l(r:p7udm19622-p7udt4849lv);(-122.61205733532773,38.10234892224992) l(r:p7udt4851lv-p7ulv18933);(-122.61619587803978,38.10049688502244);(-122.6165160713312,38.10033570187923) l(r:p7udt4851lv-p7ulv19076);(-122.61619587803978,38.10049688502244);(-122.61609445731769,38.10026222358665) l(r:p7udm19631-p7ulv19075);(-122.61622966937725,38.10057810966121);(-122.61650353565571,38.10055196569729) l(r:p7udm19630-p7ulv18939);(-122.61625163134704,38.10074040992689);(-122.61609205241422,38.10072186327062) l(r:p7udm19630-p7udm19631);(-122.61625200843386,38.10066830958557);(-122.61625163134704,38.10074040992689) l(r:p7udm19631-p7udt4851lv);(-122.61622966937725,38.10057810966121) l(r:p7udm19638-p7ulv18937);(-122.60740718207649,38.101720614428935);(-122.6074060246947,38.101936915184574) l(r:p7udm19639-p7ulv18946);(-122.60750983042769,38.10172095734367);(-122.60765747375841,38.10183861542046) l(r:p7udm19639-p7ulv19044);(-122.60750983042769,38.10172095734367);(-122.60774972870885,38.10164965687275) l(r:p7udm19639-p7ulv19332);(-122.60750983042769,38.10172095734367);(-122.60747667504792,38.10152256734741) l(r:p7udm19638-p7udm19639);(-122.60750983042769,38.10172095734367) l(r:p7udm19638-p7udt4853lv);(-122.60731593909912,38.10172030954057);(-122.60740718207649,38.101720614428935) l(r:p7udm19646-p7ulv35542);(-122.60716762101043,38.10172882647724);(-122.60715689120268,38.10160261290629) l(r:p7udm19645-p7ulv33056);(-122.60711059414467,38.101728635814005);(-122.60710996675728,38.10184579871997) l(r:p7udm19643-p7ulv33007);(-122.60689408520768,38.10169186091688);(-122.6068478359344,38.10180887118545) l(r:p7udm19642-p7ulv32892);(-122.60682574959625,38.10167360689642);(-122.60677950022598,38.10179061713792) l(r:p7udm19644-p7ulv33021);(-122.60673469990965,38.10163725143276);(-122.60675823517862,38.10150213980647) l(r:p7udm19642-p7udm19644);(-122.60673469990965,38.10163725143276) l(r:p7udm19642-p7udm19643);(-122.60682574959625,38.10167360689642) l(r:p7udm19643-p7udm19645);(-122.60705356727944,38.10172844512309);(-122.60695101548343,38.1017100767474);(-122.60689408520768,38.10169186091688) l(r:p7udm19645-p7udm19646);(-122.60711059414467,38.101728635814005) l(r:p7udm19646-p7udt4853lv);(-122.60716762101043,38.10172882647724) l(r:p7udt4854lv-p7ulv18938);(-122.61213938370918,38.10408864260675);(-122.61219722231628,38.10393561777364) l(r:p7udt4854lv-p7ulv19254);(-122.61213938370918,38.10408864260675);(-122.61215145648897,38.10396250479064) l(r:p7udt4854lv-p7ulv19323);(-122.61213938370918,38.10408864260675);(-122.61190005373544,38.10405180159652) l(r:p7udt4854lv-p7ulv19382);(-122.61213938370918,38.10408864260675);(-122.6117534483953,38.103735873106594) l(r:p7udm19648-p7ulv19239);(-122.61250251112656,38.10444133561166);(-122.61248065162928,38.10426100971977) l(r:p7udm19648-p7udt4854lv);(-122.6121731721658,38.10416986834717);(-122.61219555492441,38.10425105643375);(-122.61222934351662,38.10433228215652);(-122.61225172638547,38.10441347023076);(-122.61228551511326,38.104494695935855);(-122.61238831025592,38.10446799701156);(-122.61250251112656,38.10444133561166) l(r:p7udt4857lv-p7ulv19189);(-122.61040965002563,38.10548889965278);(-122.6103070921155,38.105470534184896) l(r:p7udm19666-p7ulv18944);(-122.61038640732036,38.10556993677489);(-122.61052289623531,38.105642490775374) l(r:p7udm19666-p7udt4857lv);(-122.61038640732036,38.10556993677489) l(r:p7udm19669-p7ulv19202);(-122.61043289267879,38.10540786252464);(-122.61086569730664,38.1055264616188) l(r:p7udm19667-p7ulv19082);(-122.61046754121689,38.10532686320369);(-122.61062708083367,38.10535443006001) l(r:p7udm19667-p7udm19669);(-122.61046754121689,38.10532686320369) l(r:p7udm19669-p7udt4857lv);(-122.61043289267879,38.10540786252464) l(r:p7udm19677-p7ulv19059);(-122.60761323201002,38.1037131074616);(-122.60776145769752,38.103722615044866) l(r:p7udm19677-p7ulv32981);(-122.60761323201002,38.1037131074616);(-122.60743083754537,38.10369447284992) l(r:p7udm19677-p7udt4859lv);(-122.60786483142138,38.10358776972772);(-122.60775082297607,38.10357837650552);(-122.60763676636195,38.10357799570191);(-122.6076249750968,38.103650057847254);(-122.60761323201002,38.1037131074616) l(r:p7udm19678-p7ulv19132);(-122.60808153902484,38.10358849273949);(-122.60805790919264,38.10374162965099) l(r:p7udm19678-p7ulv19393);(-122.60808153902484,38.10358849273949);(-122.60808235747614,38.103435279735855) l(r:p7udm19676-p7ulv18947);(-122.60830960418365,38.10359826590249);(-122.60829747674919,38.10373341582565) l(r:p7udm19676-p7udm19678);(-122.60819559566134,38.10358887311148);(-122.60830960418365,38.10359826590249) l(r:p7udm19678-p7udt4859lv);(-122.60808153902484,38.10358849273949) l(r:p7udt4860lv-p7ulv18970);(-122.61332648930045,38.10608435943163);(-122.6134280990064,38.106282972895414) l(r:p7udt4860lv-p7ulv19022);(-122.61332648930045,38.10608435943163);(-122.6132229319473,38.10625525965546) l(r:p7udt4860lv-p7ulv19261);(-122.61332648930045,38.10608435943163);(-122.61304138537926,38.10607440816231) l(r:p7udm19681-p7ulv18948);(-122.61333827538196,38.10601229671592);(-122.61364614374224,38.10603133480702) l(r:p7udm19681-p7udt4860lv);(-122.61333827538196,38.10601229671592) l(r:p7udt4861lv-p7ulv19364);(-122.61739621320766,38.104340207278824);(-122.61725939071174,38.104330748990485) l(r:p7udm19685-p7ulv18952);(-122.6174408964592,38.104520606590576);(-122.61764615427099,38.104530287379795) l(r:p7udm19688-p7ulv19338);(-122.61747464400115,38.1046108433708);(-122.61710923395246,38.10469076742335) l(r:p7udm19685-p7udm19688);(-122.61747464400115,38.1046108433708) l(r:p7udm19685-p7udt4861lv);(-122.61741855480642,38.10443040693736);(-122.6174408964592,38.104520606590576) l(r:p7udm19687-p7ulv19277);(-122.61732914172639,38.104078620809766);(-122.61748891623864,38.10406111566073) l(r:p7udm19686-p7ulv19084);(-122.61723855399326,38.10395214806974);(-122.61711271465273,38.104023839614825) l(r:p7udm19686-p7udm19687);(-122.61728384782094,38.10401538444862);(-122.61723855399326,38.10395214806974) l(r:p7udm19687-p7udt4861lv);(-122.61737382465893,38.10425902015334);(-122.61735148316563,38.104168820484226);(-122.61732914172639,38.104078620809766) l(r:p7udt4864lv-p7ulv19340);(-122.6105638673458,38.100072783012514);(-122.6104039085435,38.1001263289444) l(r:p7udt4864lv-p7ulv24185);(-122.6105638673458,38.100072783012514);(-122.61073508759159,38.10004631225734) l(r:p7udm19701-p7ulv19334);(-122.61060905737652,38.10015404703717);(-122.61046040787751,38.1002256559131) l(r:p7udm19699-p7ulv19025);(-122.61073327070747,38.100388788683965);(-122.61058452518766,38.100478422786246) l(r:p7udm19700-p7ulv19135);(-122.61092739754298,38.10034436814178);(-122.61091656586586,38.100236179925936) l(r:p7udm19698-p7ulv18958);(-122.61100732924419,38.10032660738589);(-122.61105261546933,38.10038984616478) l(r:p7udm19698-p7udm19700);(-122.61100732924419,38.10032660738589) l(r:p7udm19699-p7udm19700);(-122.61079039216881,38.10037095250877);(-122.61084751360258,38.1003531163056);(-122.61092739754298,38.10034436814178) l(r:p7udm19699-p7udm19701);(-122.61064289018489,38.10022626071569);(-122.61068808039644,38.10030752470881);(-122.61073327070747,38.100388788683965) l(r:p7udm19701-p7udt4864lv);(-122.61060905737652,38.10015404703717) l(r:p7udm19704-p7ulv24295);(-122.6104052484233,38.099873977893736);(-122.61055384942594,38.09981138161771) l(r:p7udm19706-p7ulv24498);(-122.61024596015176,38.09980134808734);(-122.61031506070705,38.09967539951465) l(r:p7udm19705-p7ulv24363);(-122.6101776254461,38.09978309601535);(-122.61010885977544,38.09984595674132) l(r:p7udm19705-p7udm19706);(-122.6101776254461,38.09978309601535) l(r:p7udm19704-p7udm19706);(-122.61035977164063,38.099846789015494);(-122.61031434275533,38.099810587582006);(-122.61024596015176,38.09980134808734) l(r:p7udm19704-p7udt4864lv);(-122.61051867741453,38.0999915189699);(-122.61046193895073,38.0999372547144);(-122.6104052484233,38.099873977893736) l(r:p7udm19712-p7ulv18981);(-122.61314161599687,38.102208293963066);(-122.6131979305725,38.102343669756486) l(r:p7udm19711-p7ulv18960);(-122.61306173027617,38.10221704361993);(-122.61298279533453,38.102045542482465) l(r:p7udm19711-p7udm19712);(-122.61306173027617,38.10221704361993) l(r:p7udm19712-p7udt4866lv);(-122.61314161599687,38.102208293963066) l(r:p7udm19713-p7ulv19156);(-122.61332434078305,38.10216383194177);(-122.6132682161271,38.10199240605431) l(r:p7udm19714-p7ulv19235);(-122.61340432139208,38.102137057030944);(-122.61347194690626,38.102290495295954) l(r:p7udm19715-p7ulv19257);(-122.61348425446495,38.102119294602815);(-122.61334852903092,38.10190254341615) l(r:p7udm19714-p7udm19715);(-122.61348425446495,38.102119294602815) l(r:p7udm19713-p7udm19714);(-122.61340432139208,38.102137057030944) l(r:p7udm19713-p7udt4866lv);(-122.61332434078305,38.10216383194177) l(r:p7udt4868lv-p7ulv18962);(-122.611566182133,38.10032845610185);(-122.61157701478093,38.10043664426138) l(r:p7udt4868lv-p7ulv19381);(-122.611566182133,38.10032845610185);(-122.61163523323103,38.10021151929306) l(r:p7udm19721-p7ulv19290);(-122.61172428057755,38.10062639757896);(-122.61180406935235,38.10063567389664) l(r:p7udm19721-p7udt4868lv);(-122.61165732802671,38.10034678275732);(-122.61174847396654,38.1003651093423);(-122.6118395722752,38.100392448395226);(-122.61179357012438,38.10046439799202);(-122.61175892538955,38.10054539779136);(-122.61172428057755,38.10062639757896) l(r:p7udm19722-p7ulv19369);(-122.61139520017608,38.10030986537448);(-122.6113844155463,38.10019266465914) l(r:p7udm19722-p7udt4868lv);(-122.61148639372344,38.1003191796241);(-122.61139520017608,38.10030986537448) l(r:p7udt4869lv-p7ulv19151);(-122.60887069198562,38.103185551172835);(-122.60873411314432,38.10313102031834) l(r:p7udm19724-p7ulv18966);(-122.60891669872211,38.1031136027785);(-122.60904163194044,38.10321315812863) l(r:p7udm19724-p7ulv19378);(-122.60891669872211,38.1031136027785);(-122.60876871439746,38.10305903400232) l(r:p7udm19724-p7udt4869lv);(-122.60891669872211,38.1031136027785) l(r:p7udm19726-p7ulv19289);(-122.60882444489555,38.103302562201684);(-122.60889263839522,38.103347852657976) l(r:p7udm19726-p7udt4869lv);(-122.60884754443435,38.10324856295534);(-122.60882444489555,38.103302562201684) l(r:p7udt4871lv-p7ulv18973);(-122.60816292080555,38.101164350015466);(-122.6081863570335,38.10104726314416) l(r:p7udm19730-p7ulv19013);(-122.60832216182706,38.10124599516705);(-122.60823029384234,38.10136285392369) l(r:p7udm19730-p7ulv19211);(-122.60832216182706,38.10124599516705);(-122.60843664769547,38.10116526248381) l(r:p7udm19730-p7udt4871lv);(-122.60824251721162,38.1012096788848);(-122.60832216182706,38.10124599516705) l(r:p7udm19731-p7ulv19123);(-122.60806046579604,38.1011279575425);(-122.60811811796387,38.101010984807765) l(r:p7udm19732-p7ulv19124);(-122.60795805904405,38.101082552447295);(-122.60793452612374,38.101217664336325) l(r:p7udm19731-p7udm19732);(-122.60795805904405,38.101082552447295) l(r:p7udm19731-p7udt4871lv);(-122.60806046579604,38.1011279575425) l(r:p7udm19751-p7ulv18984);(-122.60983815631228,38.10142227985426);(-122.60980326931569,38.10154834172237) l(r:p7udm19751-p7ulv19303);(-122.60983815631228,38.10142227985426);(-122.60990730703237,38.10128731903322) l(r:p7udm19751-p7udt4875lv);(-122.60942770840086,38.10139387819913);(-122.60950759363635,38.10138513100919);(-122.60958743089768,38.10138539629933);(-122.60966722021426,38.10139467406983);(-122.60974700955148,38.10140395178625);(-122.60983815631228,38.10142227985426) l(r:p7udm19754-p7ulv19359);(-122.60875316032842,38.10169806570369);(-122.60892395266367,38.10175271041816) l(r:p7udm19752-p7ulv19297);(-122.60870720239619,38.1017610015223);(-122.60886634922903,38.10186067100433) l(r:p7udm19752-p7udm19754);(-122.60870720239619,38.1017610015223) l(r:p7udm19754-p7udt4875lv);(-122.6092464462529,38.10142925673869);(-122.60918156091853,38.10145519713058);(-122.60910797521461,38.10146491655027);(-122.60902799379792,38.101491688535226);(-122.60893651090873,38.101536447575036);(-122.60879911818151,38.10163512986601);(-122.60875316032842,38.10169806570369) l(r:p7udm19755-p7ulv18985);(-122.60872019384276,38.101463625905495);(-122.60884594079988,38.10140996840525) l(r:p7udm19757-p7ulv19354);(-122.60857245338511,38.1013639942183);(-122.6084693726838,38.10144476503408) l(r:p7udm19755-p7udm19757);(-122.60865205026212,38.10140932281123);(-122.60857245338511,38.1013639942183) l(r:p7udm19755-p7udt4876lv);(-122.60878923939666,38.101630625428456);(-122.6087769321816,38.10151789098735);(-122.60872019384276,38.101463625905495) l(r:p7udm19758-p7ulv19358);(-122.60863838553185,38.101832873882444);(-122.60845618784415,38.101778190749485) l(r:p7udm19756-p7ulv19031);(-122.60852351413773,38.10198570700864);(-122.60836436750493,38.10188603706544) l(r:p7udm19756-p7udm19758);(-122.60858097393489,38.101904784194105);(-122.60852351413773,38.10198570700864) l(r:p7udm19758-p7udt4876lv);(-122.60871708119343,38.1017655059667);(-122.60863838553185,38.101832873882444) l(r:p7udt4877lv-p7ulv19318);(-122.60837404109971,38.10221052623765);(-122.6082147979358,38.10212888115808) l(r:p7udm19759-p7ulv18986);(-122.60847750773387,38.102057655264574);(-122.60863689526025,38.102112262392794) l(r:p7udm19759-p7udt4877lv);(-122.60842009580212,38.10212956549305);(-122.60847750773387,38.102057655264574) l(r:p7udm19760-p7ulv19296);(-122.60831662894606,38.10228243641248);(-122.60848732612243,38.102355106825385) l(r:p7udm19760-p7udt4877lv);(-122.60831662894606,38.10228243641248) l(r:p7udm19769-p7ulv18989);(-122.61181434802306,38.10516007929236);(-122.61197384013056,38.10519665685277) l(r:p7udm19775-p7ulv19259);(-122.61179129774034,38.10520506658192);(-122.6119277873831,38.105277618958795) l(r:p7udm19770-p7ulv19004);(-122.61167604589954,38.105430002954414);(-122.61183549083567,38.105475593234324) l(r:p7udm19770-p7ulv19231);(-122.61167604589954,38.105430002954414);(-122.6115166488887,38.10537539992844) l(r:p7udm19770-p7udm19775);(-122.61176815204038,38.10526807892825);(-122.6117220990211,38.10534904095121);(-122.61167604589954,38.105430002954414) l(r:p7udm19769-p7udm19775);(-122.61179129774034,38.10520506658192) l(r:p7udm19769-p7udt4879lv);(-122.61181434802306,38.10516007929236) l(r:p7udm19771-p7ulv19048);(-122.6118377797616,38.1050429917478);(-122.61164402268126,38.10501531344571) l(r:p7udm19776-p7ulv19326);(-122.61183816124448,38.10497089149693);(-122.61202051239553,38.104998531817856) l(r:p7udm19772-p7ulv19081);(-122.61180484969016,38.10479954035554);(-122.61197598521376,38.104791092934164) l(r:p7udm19773-p7ulv19091);(-122.61141748019817,38.10471714573468);(-122.61141719377866,38.104771220922565) l(r:p7udm19772-p7udm19773);(-122.6117937776661,38.10473641495091);(-122.61173693922026,38.104700176393514);(-122.61169155436907,38.10465496297075);(-122.61160021226785,38.10467268645291);(-122.61150882239777,38.10469942239524);(-122.61141748019817,38.10471714573468) l(r:p7udm19772-p7udm19776);(-122.61183844735575,38.10491681630821);(-122.61182732759953,38.104862703439316);(-122.61180484969016,38.10479954035554) l(r:p7udm19771-p7udm19776);(-122.61183816124448,38.10497089149693) l(r:p7udm19771-p7udt4879lv);(-122.6118377797616,38.1050429917478) l(r:p7udt4882lv-p7ulv18994);(-122.61245402352694,38.100664857414294);(-122.61263650696978,38.10066545912673) l(r:p7udt4882lv-p7ulv19077);(-122.61245402352694,38.100664857414294);(-122.61239637852991,38.1007818323221) l(r:p7udt4882lv-p7ulv19199);(-122.61245402352694,38.100664857414294);(-122.61254612188323,38.10050293260545) l(r:p7udm19791-p7ulv19330);(-122.61228337391286,38.100583180204346);(-122.61232980421545,38.10043011756533) l(r:p7udm19791-p7udt4882lv);(-122.61236297226128,38.10062850629731);(-122.61228337391286,38.100583180204346) l(r:p7udm19799-p7ulv18999);(-122.61384466855993,38.10732080163426);(-122.61368536073772,38.10724817647732) l(r:p7udm19804-p7ulv19311);(-122.6138100702286,38.10739278940879);(-122.61362775990499,38.10735613931122) l(r:p7udm19802-p7ulv19221);(-122.61361426490836,38.10775265309391);(-122.613523346742,38.10768926528248) l(r:p7udm19802-p7udm19804);(-122.61376406555488,38.10746473967809);(-122.61375242200906,38.1075097648311);(-122.61370636974442,38.10759072760509);(-122.61366031737752,38.10767169035935);(-122.61361426490836,38.10775265309391) l(r:p7udm19799-p7udm19804);(-122.6138100702286,38.10739278940879) l(r:p7udm19799-p7udt4884lv);(-122.61389067306338,38.107248851330716);(-122.61384466855993,38.10732080163426) l(r:p7udm19800-p7ulv19039);(-122.61395986936168,38.10710487571764);(-122.61380056189115,38.10703225071613) l(r:p7udm19801-p7ulv19144);(-122.61417857836167,38.10672706124544);(-122.61399631692379,38.106681399192276) l(r:p7udm19803-p7ulv19304);(-122.61422462955633,38.10664609826875);(-122.61406537022741,38.10656446109316) l(r:p7udm19801-p7udm19803);(-122.61422462955633,38.10664609826875) l(r:p7udm19800-p7udm19801);(-122.61400592103776,38.10702391283446);(-122.61404051900034,38.10695192499576);(-122.61407511689448,38.10687993714564);(-122.61413252706477,38.10680802420238);(-122.61417857836167,38.10672706124544) l(r:p7udm19800-p7udt4884lv);(-122.61395986936168,38.10710487571764) l(r:p7udt4885lv-p7ulv19313);(-122.6172416572764,38.10335732048454);(-122.61716266430776,38.103194834669544) l(r:p7udm19805-p7ulv19000);(-122.61708089643103,38.10356408863233);(-122.61697819833336,38.103572766603925) l(r:p7udm19806-p7ulv19028);(-122.61711469013417,38.10364531298692);(-122.61721748236272,38.103618609818206) l(r:p7udm19805-p7udm19806);(-122.61711469013417,38.10364531298692) l(r:p7udm19805-p7udt4885lv);(-122.6171388654234,38.10338402367758);(-122.61705850846126,38.10348290143914);(-122.61708089643103,38.10356408863233) l(r:p7udm19808-p7ulv19342);(-122.61736956346252,38.102889077018666);(-122.61732361213782,38.1029520162301) l(r:p7udm19809-p7ulv19357);(-122.61731281768118,38.102834816059016);(-122.6171755747685,38.10290647054896) l(r:p7udm19808-p7udm19809);(-122.61731281768118,38.102834816059016) l(r:p7udm19808-p7udt4885lv);(-122.61734440204917,38.10333962974084);(-122.61744714677315,38.103321938906966);(-122.61756129708043,38.103304285105835);(-122.61766404170413,38.10328659408165);(-122.61763015361173,38.10322339495826);(-122.61759626557735,38.10316019582475);(-122.61755092502074,38.10310597209881);(-122.61751699012375,38.103051785482215);(-122.61747164969229,38.10299756172535);(-122.61741490375182,38.10294330081517);(-122.61736956346252,38.102889077018666) l(r:p7udm19811-p7ulv19003);(-122.6110201060142,38.10221931550432);(-122.61113473376523,38.102111542592795) l(r:p7udm19811-p7ulv19030);(-122.6110201060142,38.10221931550432);(-122.61092838445363,38.102309138764085) l(r:p7udm19811-p7udt4887lv);(-122.61090652941783,38.10212881253821);(-122.61095196002275,38.10216501373781);(-122.6110201060142,38.10221931550432) l(r:p7udm19815-p7ulv19263);(-122.61081576395422,38.10203838501675);(-122.61071258911419,38.10213718286865) l(r:p7udm19813-p7ulv19066);(-122.61077042911751,38.10198415869479);(-122.61088496126865,38.101894411092836) l(r:p7udm19814-p7ulv19146);(-122.61066825859635,38.10189369327818);(-122.61080550589566,38.101822046400514) l(r:p7udm19813-p7udm19814);(-122.61071364112011,38.101938907104795);(-122.61066825859635,38.10189369327818) l(r:p7udm19813-p7udm19815);(-122.61077042911751,38.10198415869479) l(r:p7udm19815-p7udt4887lv);(-122.61081576395422,38.10203838501675) l(r:p7udt4888lv-p7ulv19007);(-122.61386103888793,38.09987637220454);(-122.61365541501944,38.09993878519724) l(r:p7udm19819-p7ulv24227);(-122.61380439289663,38.09980408446619);(-122.61394153840291,38.09975045894588) l(r:p7udm19821-p7ulv24462);(-122.61373634194484,38.09973175921014);(-122.61357648109183,38.099767284397764) l(r:p7udm19822-p7ulv24481);(-122.61367969618729,38.099659471411144);(-122.61379417371533,38.0995787334411) l(r:p7udm19823-p7ulv24614);(-122.61348699700252,38.09943352027989);(-122.6133611143296,38.09951422042572) l(r:p7udm19822-p7udm19823);(-122.61361164549193,38.09958714608248);(-122.6135436423969,38.0995058081726);(-122.61348699700252,38.09943352027989) l(r:p7udm19821-p7udm19822);(-122.61367969618729,38.099659471411144) l(r:p7udm19819-p7udm19821);(-122.61373634194484,38.09973175921014) l(r:p7udm19819-p7udt4888lv);(-122.61380439289663,38.09980408446619) l(r:p7udm19820-p7ulv24460);(-122.61399714143823,38.10002102253183);(-122.61413438200178,38.099949371706806) l(r:p7udm19818-p7ulv19361);(-122.61412183938442,38.100165635247755);(-122.61393888296186,38.100255161258346) l(r:p7udm19817-p7ulv19214);(-122.61417848596584,38.10023792283179);(-122.61429301123272,38.10014817184422) l(r:p7udm19817-p7udm19818);(-122.61417848596584,38.10023792283179) l(r:p7udm19818-p7udm19820);(-122.61405378778589,38.10009331017651);(-122.61412183938442,38.100165635247755) l(r:p7udm19820-p7udt4888lv);(-122.61392909009615,38.09994869738798);(-122.61399714143823,38.10002102253183) l(r:p7udm19836-p7ulv19016);(-122.61054877025703,38.10506576450374);(-122.61071952394765,38.10512941915656) l(r:p7udm19836-p7udt4891lv);(-122.61054877025703,38.10506576450374) l(r:p7udm19837-p7ulv19166);(-122.61064135673544,38.10481371605911);(-122.61077784450463,38.104886269766524) l(r:p7udm19837-p7udt4891lv);(-122.6106066607996,38.104903727970964);(-122.61064135673544,38.10481371605911) l(r:p7udt4892lv-p7ulv19085);(-122.60789139980146,38.1028848685254);(-122.60769774633965,38.10283915868422) l(r:p7udm19838-p7ulv19023);(-122.60779938452458,38.103028764488);(-122.60760587534763,38.10295601690468) l(r:p7udm19838-p7ulv19223);(-122.60779938452458,38.103028764488);(-122.60797017922647,38.103083410589456) l(r:p7udm19838-p7udt4892lv);(-122.60784539220838,38.1029568165164);(-122.60779938452458,38.103028764488) l(r:p7udm19839-p7ulv19042);(-122.60793745546461,38.102803907984494);(-122.60816513310131,38.10288578166094) l(r:p7udm19839-p7ulv19247);(-122.60793745546461,38.102803907984494);(-122.60775530408671,38.102740211232955) l(r:p7udm19839-p7udt4892lv);(-122.60793745546461,38.102803907984494) l(r:p7udt4894lv-p7ulv19027);(-122.61060486990434,38.10309217132301);(-122.61060420023243,38.103218346783414) l(r:p7udt4894lv-p7ulv19029);(-122.61060486990434,38.10309217132301);(-122.61043378605942,38.103091604237626) l(r:p7udt4894lv-p7ulv19391);(-122.61060486990434,38.10309217132301);(-122.61033132722332,38.10305521373598) l(r:p7udm19849-p7ulv19284);(-122.61067359039417,38.10303832288883);(-122.61086672046146,38.10318316570207) l(r:p7udm19849-p7udt4894lv);(-122.61067359039417,38.10303832288883) l(r:p7udt4895lv-p7ulv19051);(-122.6131534497687,38.10429026475537);(-122.61307422723122,38.10417283893092) l(r:p7udm19852-p7ulv19050);(-122.61271950682733,38.10438797488454);(-122.61277591739939,38.104505325768606) l(r:p7udm19852-p7udt4895lv);(-122.61303924936479,38.10431692679115);(-122.61293645466628,38.10434362628804);(-122.61282225410208,38.1043702881118);(-122.61271950682733,38.10438797488454) l(r:p7udm19854-p7ulv19138);(-122.61333617974411,38.10424580275925);(-122.6134151653275,38.10440829107828) l(r:p7udm19855-p7ulv19641);(-122.61327986348296,38.10411042706671);(-122.61337134697473,38.104065664667914) l(r:p7udm19851-p7ulv19034);(-122.61322359494113,38.10396603881074);(-122.61302965001039,38.10397441293827) l(r:p7udm19851-p7udm19855);(-122.61325743205488,38.10403825171287);(-122.61322359494113,38.10396603881074) l(r:p7udm19854-p7udm19855);(-122.61330234245868,38.10417358988143);(-122.61327986348296,38.10411042706671) l(r:p7udm19854-p7udt4895lv);(-122.61324483853943,38.10426352752602);(-122.61333617974411,38.10424580275925) l(r:p7udm19856-p7ulv19035);(-122.61534533177276,38.10827205592884);(-122.61552797591311,38.108245615660664) l(r:p7udm19857-p7ulv19172);(-122.61536634878611,38.108614606743096);(-122.61551486889975,38.108570029417734) l(r:p7udm19856-p7udm19857);(-122.61534490643164,38.108353168698876);(-122.61535588751563,38.10843431880899);(-122.61535541492451,38.10852444410657);(-122.61536634878611,38.108614606743096) l(r:p7udm19856-p7udt4896lv);(-122.61534533177276,38.10827205592884) l(r:p7udm19858-p7ulv19175);(-122.61533482333458,38.1081007805132);(-122.61543733896428,38.1081281541412) l(r:p7udm19859-p7ulv19255);(-122.61532478756125,38.10783937978546);(-122.6154503044857,38.10783077796241) l(r:p7udm19858-p7udm19859);(-122.61533529594381,38.108010655209306);(-122.61532431494203,38.10792950509188);(-122.61532478756125,38.10783937978546) l(r:p7udm19858-p7udt4896lv);(-122.61533482333458,38.1081007805132) l(r:p7udt4898lv-p7ulv19049);(-122.61098730781342,38.10410285944756);(-122.61120478120334,38.10395937619392) l(r:p7udt4898lv-p7ulv19110);(-122.61098730781342,38.10410285944756);(-122.61105502559757,38.104238273971404) l(r:p7udt4898lv-p7ulv19355);(-122.61098730781342,38.10410285944756);(-122.61124897142334,38.10422990310854) l(r:p7udt4899lv-p7ulv19052);(-122.60957438618267,38.10383680517318);(-122.60962048855666,38.10374683144794) l(r:p7udt4899lv-p7ulv19344);(-122.60957438618267,38.10383680517318);(-122.60958521636506,38.10394499343859) l(r:p7udm19869-p7ulv19188);(-122.61070216413356,38.10410191507385);(-122.61074836093272,38.103993915840135) l(r:p7udm19868-p7ulv19103);(-122.61079326667316,38.10412925494355);(-122.61074702203494,38.10424626672369) l(r:p7udm19868-p7udm19869);(-122.61079326667316,38.10412925494355) l(r:p7udm19869-p7udt4900lv);(-122.61070216413356,38.10410191507385) l(r:p7udm19870-p7ulv19380);(-122.61053126930929,38.104065297991966);(-122.61058882422319,38.10396634915785) l(r:p7udm19867-p7ulv19053);(-122.61044011909286,38.10404697045112);(-122.61035970454273,38.10415485609881) l(r:p7udm19867-p7udm19870);(-122.61044011909286,38.10404697045112) l(r:p7udm19870-p7udt4900lv);(-122.61053126930929,38.104065297991966) l(r:p7udm19872-p7ulv19249);(-122.60753199407966,38.103974204143555);(-122.60765716784805,38.104028698307474) l(r:p7udm19872-p7ulv32843);(-122.60753199407966,38.103974204143555);(-122.60739536655468,38.103928684266) l(r:p7udm19872-p7udt4901lv);(-122.60763628671492,38.10365121350633);(-122.60762451624281,38.10371441973059);(-122.60760144069889,38.10378516960269);(-122.60758964936399,38.1038572317416);(-122.60756654870593,38.10391123072895);(-122.60753199407966,38.103974204143555) l(r:p7udm19873-p7ulv32797);(-122.60764903957649,38.103415808262);(-122.60747819612813,38.10337017422314) l(r:p7udm19871-p7ulv19056);(-122.60768412395551,38.1032536969803);(-122.6078092966137,38.103308190982744) l(r:p7udm19871-p7ulv32858);(-122.60768412395551,38.1032536969803);(-122.6074788229618,38.10325301134246) l(r:p7udm19871-p7udm19873);(-122.60767228459753,38.10333477166438);(-122.60768412395551,38.1032536969803) l(r:p7udm19873-p7udt4901lv);(-122.60764860580075,38.10349692102519);(-122.60764903957649,38.103415808262) l(r:p7udt4902lv-p7ulv19392);(-122.61119629803922,38.10125554040549);(-122.61104759908986,38.10133616253809) l(r:p7udm19876-p7ulv19058);(-122.61099296163451,38.10088534693724);(-122.61084450221998,38.10092090613771) l(r:p7udm19876-p7udt4902lv);(-122.61116232093352,38.101210364502954);(-122.61111712964772,38.10112910069887);(-122.61107193846141,38.1010478368768);(-122.61103815263458,38.100966610790906);(-122.61099296163451,38.10088534693724) l(r:p7udm19877-p7ulv19194);(-122.6112303229403,38.10129170376167);(-122.61109317258926,38.10134532607724) l(r:p7udm19878-p7ulv19384);(-122.61160545780798,38.10152727437248);(-122.61152509547631,38.10162614835192) l(r:p7udm19877-p7udm19878);(-122.61127575319128,38.1013279048393);(-122.6113211357445,38.10137311843578);(-122.61137801915565,38.1014003446634);(-122.6114234495468,38.101436545684);(-122.61151445362096,38.101481910063356);(-122.61160545780798,38.10152727437248) l(r:p7udm19877-p7udt4902lv);(-122.6112303229403,38.10129170376167) l(r:p7udt4903lv-p7ulv19061);(-122.6166426774122,38.10448195427793);(-122.61666553613168,38.10447301616336) l(r:p7udt4904lv-p7ulv19262);(-122.61436268777155,38.106421234284284);(-122.61415761476464,38.1063754976095) l(r:p7udm19881-p7ulv19063);(-122.6144201446687,38.106340308659405);(-122.61456809250397,38.10640388287765) l(r:p7udm19881-p7udt4904lv);(-122.6144201446687,38.106340308659405) l(r:p7udm19883-p7ulv19068);(-122.61710203840433,38.101698529898236);(-122.6172156690519,38.10178001437763) l(r:p7udm19883-p7udt4905lv);(-122.61714784804198,38.10166262838333);(-122.61710203840433,38.101698529898236) l(r:p7udm19884-p7ulv19226);(-122.61726246596636,38.10155484943108);(-122.61762645115121,38.10174530105707) l(r:p7udm19884-p7udt4905lv);(-122.61726246596636,38.10155484943108) l(r:p7udt4907lv-p7ulv19134);(-122.61377019706443,38.10196701903863);(-122.61327059132657,38.10154177916093) l(r:p7udm19888-p7ulv19072);(-122.61362145200539,38.102056656964);(-122.61371207861725,38.1021741199498) l(r:p7udm19888-p7udt4907lv);(-122.6137015272933,38.10201185677336);(-122.61362145200539,38.102056656964) l(r:p7udm19889-p7ulv19106);(-122.61396503756951,38.101787405426556);(-122.61365808858561,38.10159713002262) l(r:p7udm19889-p7udt4907lv);(-122.61381943003788,38.101915744447844);(-122.61387669424269,38.101870869164365);(-122.61390942323412,38.101827649182134);(-122.61395616107784,38.10178174607874) l(r:p7udm19897-p7ulv19098);(-122.61537773735816,38.10644258671449);(-122.61519528676197,38.10643297665012) l(r:p7udm19897-p7udt4909lv);(-122.61538947423558,38.106379536319956);(-122.61537773735816,38.10644258671449) l(r:p7udm19898-p7ulv19141);(-122.61550424386856,38.10624472162267);(-122.61536755984689,38.10620822351688) l(r:p7udm19895-p7ulv19086);(-122.61560765423691,38.106100856960495);(-122.61549382978521,38.106055421094446) l(r:p7udm19895-p7udm19898);(-122.61556160491146,38.10618182049673);(-122.61560765423691,38.106100856960495) l(r:p7udm19896-p7ulv19094);(-122.61556028246561,38.106434171429584);(-122.6156740603281,38.10648861976485) l(r:p7udm19896-p7udm19898);(-122.61555235085744,38.1061765517001);(-122.61569828898251,38.106218318377195);(-122.61565228690091,38.10629026941402);(-122.6156063319533,38.1063532078982);(-122.61556028246561,38.106434171429584) l(r:p7udm19898-p7udt4909lv);(-122.61550424386856,38.10624472162267) l(r:p7udt4910lv-p7ulv19109);(-122.61125459028726,38.107474489177775);(-122.61132274140235,38.107528790747516) l(r:p7udm19899-p7ulv19089);(-122.61117450752798,38.10751928761893);(-122.61082086507801,38.10752712949391) l(r:p7udm19899-p7ulv19102);(-122.61117450752798,38.10751928761893);(-122.61101472404398,38.107536784122615) l(r:p7udm19899-p7ulv19329);(-122.61117450752798,38.10751928761893);(-122.6108106063771,38.107310791076074) l(r:p7udm19899-p7udt4910lv);(-122.61117450752798,38.10751928761893) l(r:p7udm19902-p7ulv19198);(-122.61141475551311,38.10738489212914);(-122.61130117048434,38.107294389578286) l(r:p7udm19903-p7ulv19225);(-122.61154079706651,38.107277156678435);(-122.61147245508475,38.10725890534335) l(r:p7udm19903-p7ulv19360);(-122.61154079706651,38.107277156678435);(-122.61166602717492,38.107322634059976) l(r:p7udm19902-p7udm19903);(-122.6114720732111,38.10733100556295);(-122.61154079706651,38.107277156678435) l(r:p7udm19902-p7udt4910lv);(-122.61141475551311,38.10738489212914) l(r:p7udt4913lv-p7ulv19099);(-122.60815574916838,38.10250721732613);(-122.60832635047925,38.102597913034565) l(r:p7udt4913lv-p7ulv19300);(-122.60815574916838,38.10250721732613);(-122.60798510012623,38.10242553390211) l(r:p7udm19917-p7ulv19363);(-122.60821316165685,38.10243530723448);(-122.608019557324,38.102380585394165) l(r:p7udm19917-p7ulv19379);(-122.60821316165685,38.10243530723448);(-122.60837259790803,38.10248090218962) l(r:p7udm19917-p7udt4913lv);(-122.60821316165685,38.10243530723448) l(r:p7udt4914lv-p7ulv19118);(-122.61222934351662,38.10433228215652);(-122.61209209287622,38.10440393064883) l(r:p7udm19924-p7ulv19131);(-122.61559178052362,38.10042280874332);(-122.61570687078925,38.1002249058605) l(r:p7udm19924-p7udt4916lv);(-122.61559178052362,38.10042280874332) l(r:p7udm19925-p7ulv19187);(-122.61543116325785,38.100602537120835);(-122.61556760079336,38.10068409784188) l(r:p7udm19925-p7ulv19341);(-122.61543116325785,38.100602537120835);(-122.61529463152002,38.10053900132571) l(r:p7udm19925-p7udt4916lv);(-122.61547720917234,38.100521573540895);(-122.61543116325785,38.100602537120835) l(r:p7udt4918lv-p7ulv19136);(-122.61162039338348,38.10086038433377);(-122.61146067224115,38.100868869005204) l(r:p7udt4918lv-p7ulv19236);(-122.61162039338348,38.10086038433377);(-122.61161948698482,38.101031622543815) l(r:p7udt4918lv-p7ulv19276);(-122.61162039338348,38.10086038433377);(-122.61188238029744,38.100924338835135) l(r:p7udt4918lv-p7ulv19366);(-122.61162039338348,38.10086038433377);(-122.6114381481146,38.10081471835403) l(r:p7udt4919lv-p7ulv19147);(-122.61431411607609,38.10047269819982);(-122.614473836059,38.100464209642745) l(r:p7udm19936-p7ulv19314);(-122.61432514228655,38.100544835961834);(-122.61449603086695,38.100581447513974) l(r:p7udm19936-p7udt4919lv);(-122.61432514228655,38.100544835961834) l(r:p7udm19937-p7ulv19356);(-122.61430304251198,38.100409572977036);(-122.6141088701006,38.10046301164644) l(r:p7udm19937-p7udt4919lv);(-122.61430304251198,38.100409572977036) l(r:p7udt4921lv-p7ulv19165);(-122.6118395722752,38.100392448395226);(-122.61190847999417,38.10030254904109) l(r:p7udm19941-p7ulv19237);(-122.61191921780855,38.10042876224922);(-122.6119882684415,38.10031182523244) l(r:p7udm19941-p7udt4921lv);(-122.61191921780855,38.10042876224922) l(r:p7udt4922lv-p7ulv33027);(-122.60733144426557,38.100954282422144);(-122.60736638343872,38.10081920876382) l(r:p7udm19942-p7ulv19183);(-122.6075366906169,38.10096398081563);(-122.60754722817167,38.10112624449965) l(r:p7udm19943-p7ulv19370);(-122.60775314956136,38.10100976705643);(-122.60783356444142,38.10090188313694) l(r:p7udm19942-p7udm19943);(-122.60765064678063,38.10098238676054);(-122.60775314956136,38.10100976705643) l(r:p7udm19942-p7udt4922lv);(-122.60742273451126,38.10094557476049);(-122.6075366906169,38.10096398081563) l(r:p7udm19945-p7ulv32793);(-122.6070691234515,38.10095340552288);(-122.60709347830966,38.10066508075142) l(r:p7udm19944-p7ulv32792);(-122.60698933495824,38.100944125991866);(-122.60698856257207,38.1010883265084) l(r:p7udm19944-p7udm19945);(-122.60698933495824,38.100944125991866) l(r:p7udm19945-p7udt4922lv);(-122.607240202242,38.10095397748013);(-122.60714891196541,38.1009626849998);(-122.6070691234515,38.10095340552288) l(r:p7udm19948-p7ulv28897);(-122.61580766022912,38.10929200186515);(-122.61565876103776,38.109408679777935) l(r:p7udm19948-p7ulv28929);(-122.61580766022912,38.10929200186515);(-122.61591046085391,38.10926529991031) l(r:p7udm19948-p7udt4923lv);(-122.61548936314645,38.10908366893888);(-122.6156370340785,38.109201316989555);(-122.61570523727055,38.109246603498384);(-122.61580766022912,38.10929200186515) l(r:p7udm19950-p7ulv28946);(-122.61543308651568,38.10893928181962);(-122.61560427855815,38.108921816639736) l(r:p7udm19947-p7ulv19196);(-122.61535588751563,38.10843431880899);(-122.61479697262757,38.108432487788654) l(r:p7udm19947-p7udm19950);(-122.61541065152963,38.10886710691697);(-122.61539967032432,38.108785956817286);(-122.61537732993048,38.10869575684793);(-122.61535500687727,38.10861556489857);(-122.61534406038908,38.108525303730815);(-122.61534448108884,38.1084342814678) l(r:p7udm19950-p7udt4923lv);(-122.61543308651568,38.10893928181962) l(r:p7udt4924lv-p7ulv19200);(-122.6100072759657,38.103937382341556);(-122.6099724359387,38.104054431670164) l(r:p7udm19952-p7ulv19260);(-122.6101097837627,38.10396476059501);(-122.61020150840736,38.1038749380162) l(r:p7udm19952-p7udt4924lv);(-122.6101097837627,38.10396476059501) l(r:p7udm19953-p7ulv19347);(-122.60989331460753,38.10391897866332);(-122.60995091817776,38.10381101761209) l(r:p7udm19953-p7udt4924lv);(-122.60989331460753,38.10391897866332) l(r:p7udt4925lv-p7ulv19216);(-122.61300255986339,38.10478546583161);(-122.612843163246,38.104730864601386) l(r:p7udm19955-p7ulv19220);(-122.6130257994272,38.104704428164254);(-122.61320824541325,38.104714041575626) l(r:p7udm19955-p7udt4925lv);(-122.6130257994272,38.104704428164254) l(r:p7udm19956-p7ulv19312);(-122.61297932024749,38.104866503492964);(-122.61313890736317,38.104885054407724) l(r:p7udm19956-p7udt4925lv);(-122.61297932024749,38.104866503492964) l(r:p7udm19957-p7ulv19227);(-122.61397570922064,38.10409468979048);(-122.61409889697251,38.104527703577006) l(r:p7udm19957-p7ulv19266);(-122.61397570922064,38.10409468979048);(-122.61403212158578,38.10421204008689) l(r:p7udm19957-p7udt4926lv);(-122.61397570922064,38.10409468979048) l(r:p7udm19958-p7ulv19246);(-122.61365596853858,38.104165740444934);(-122.61380244060562,38.10450870418931) l(r:p7udm19958-p7udt4926lv);(-122.61375871528291,38.10414805284976);(-122.61365596853858,38.104165740444934) l(r:p7udm19960-p7ulv19234);(-122.61709813458475,38.102446570839035);(-122.61763517936737,38.10225905307257) l(r:p7udm19960-p7udt4927lv);(-122.61709813458475,38.102446570839035) l(r:p7udm19961-p7ulv19389);(-122.61716586217537,38.1025819819313);(-122.61739425455828,38.10252864966624) l(r:p7udm19961-p7udt4927lv);(-122.61716586217537,38.1025819819313) l(r:p7udt4929lv-p7ulv19258);(-122.61030462600282,38.10164013245225);(-122.61016718702143,38.101747828884704) l(r:p7udt4929lv-p7ulv19291);(-122.61030462600282,38.10164013245225);(-122.61043061138133,38.101541410577624) l(r:p7udm19968-p7ulv19309);(-122.61037277102776,38.10169443459379);(-122.61025799919899,38.10182924437082) l(r:p7udm19968-p7udt4929lv);(-122.61037277102776,38.10169443459379) l(r:p7udm19969-p7ulv19386);(-122.61022507572359,38.10158579243879);(-122.61036246645443,38.10148710846875) l(r:p7udm19969-p7udt4929lv);(-122.61022507572359,38.10158579243879) l(r:p7udm19970-p7ulv19273);(-122.61236949509548,38.09939378837139);(-122.61269771937494,38.099872543622475) l(r:p7udm19971-p7ulv24213);(-122.61264402432094,38.099241477808896);(-122.61255311748279,38.09917808921614) l(r:p7udm19970-p7udm19971);(-122.61246102083001,38.099340014076034);(-122.61255249884282,38.09929525224907);(-122.61264402432094,38.099241477808896) l(r:p7udm19970-p7udt4930lv);(-122.61236949509548,38.09939378837139) l(r:p7udm19973-p7ulv24565);(-122.61217522872901,38.09946524894229);(-122.61225449242941,38.09957366289168) l(r:p7udm19972-p7ulv24342);(-122.6120837979215,38.09950099793177);(-122.61211729839685,38.09963629897964) l(r:p7udm19972-p7udm19973);(-122.6120837979215,38.09950099793177) l(r:p7udm19973-p7udt4930lv);(-122.61217522872901,38.09946524894229) l(r:p7udt4931lv-p7ulv19315);(-122.61690926350018,38.10585275260596);(-122.6168633099041,38.10591569159366) l(r:p7udt5211lv-p7ulv20549);(-122.661301826544,38.056518187702835);(-122.66132279588797,38.05691481021073) l(r:p7udm21272-p7ulv20586);(-122.65487321673815,38.05890589903362);(-122.65483914738134,38.058878760688415) l(r:p7udm21273-p7ulv20590);(-122.65501008678231,38.058888275275386);(-122.65496402616186,38.058987280551506) l(r:p7udm21273-p7ulv20673);(-122.65501008678231,38.058888275275386);(-122.65496580347912,38.05860874942258) l(r:p7udm21272-p7udm21273);(-122.65501008678231,38.058888275275386) l(r:p7udm21272-p7udt5219lv);(-122.65487321673815,38.05890589903362) l(r:p7udm21276-p7ulv20683);(-122.65471304087602,38.05903160741279);(-122.65473575379347,38.059049699668) l(r:p7udm21276-p7ulv20761);(-122.65471304087602,38.05903160741279);(-122.65487237016461,38.05908615194433) l(r:p7udm21277-p7ulv20732);(-122.65455320341056,38.05908521440807);(-122.65456451748969,38.059103273196605) l(r:p7udm21275-p7ulv20678);(-122.6544627757345,38.05892271876898);(-122.65439451017407,38.05889547976808) l(r:p7udm21275-p7udm21277);(-122.65450769290835,38.05906705511344);(-122.6544736236131,38.05903991666333);(-122.65445116504597,38.058967748486936);(-122.6544627757345,38.05892271876898) l(r:p7udm21276-p7udm21277);(-122.65467871740569,38.059058544893524);(-122.654644436267,38.0590764697188);(-122.65459879866022,38.059085348394866);(-122.65455320341056,38.05908521440807) l(r:p7udm21276-p7udt5219lv);(-122.65473596552106,38.05900463644122);(-122.65471304087602,38.05903160741279) l(r:p7udt5220lv-p7ulv20587);(-122.65535467094962,38.05833049432358);(-122.65528534867475,38.05852857200944) l(r:p7udt5220lv-p7ulv20716);(-122.65535467094962,38.05833049432358);(-122.65546840438546,38.05838490435716) l(r:p7udt5221lv-p7ulv20594);(-122.65312252347549,38.060315750470934);(-122.65307679981656,38.06034265383316) l(r:p7udt5229lv-p7ulv20652);(-122.65300453279967,38.06116260229136);(-122.65292478144167,38.06115335406947) l(r:p7udt5230lv-p7ulv20663);(-122.65968049622211,38.05710834803382);(-122.65978216490541,38.057306923355604) l(r:p7udt5235lv-p7ulv20733);(-122.65211822282471,38.062971548192145);(-122.65212928086682,38.063043683023544) l(r:p7udm24551-p7ulv24358);(-122.61071090885942,38.093854506618015);(-122.61075619088251,38.093917745557846) l(r:p7udm24551-p7ulv24476);(-122.61071090885942,38.093854506618015);(-122.61066557910391,38.093800280207844) l(r:p7udm24552-p7ulv24391);(-122.61093923083173,38.093810199246505);(-122.61089394871587,38.09374696037557) l(r:p7udm24548-p7ulv24167);(-122.61115610064101,38.09377486624188);(-122.61120200367637,38.09372094188953) l(r:p7udm24548-p7udm24552);(-122.61104196368952,38.093792513920924);(-122.61115610064101,38.09377486624188) l(r:p7udm24551-p7udm24552);(-122.61082504598427,38.09383685926167);(-122.61093923083173,38.093810199246505) l(r:p7udm24551-p7udt5930lv);(-122.61071090885942,38.093854506618015) l(r:p7udm24554-p7ulv24664);(-122.61049403860723,38.09388983879811);(-122.61051651212566,38.09395300222577) l(r:p7udm24549-p7ulv24182);(-122.61031109352002,38.0939793593166);(-122.610243003518,38.093916044560316) l(r:p7udm24547-p7ulv24162);(-122.61024233344449,38.09404222021284);(-122.61029925864753,38.094060434417216) l(r:p7udm24550-p7ulv24340);(-122.61018497744354,38.09410511889875);(-122.61010538749393,38.09405979132568) l(r:p7udm24547-p7udm24550);(-122.61018497744354,38.09410511889875) l(r:p7udm24547-p7udm24549);(-122.61024233344449,38.09404222021284) l(r:p7udm24549-p7udm24554);(-122.61037990132307,38.09390748583193);(-122.61031109352002,38.0939793593166) l(r:p7udm24554-p7udt5930lv);(-122.61049403860723,38.09388983879811) l(r:p7udt5931lv-p7ulv24633);(-122.61201304817678,38.09562530320725);(-122.61192181272804,38.0956250019359) l(r:p7udm24557-p7ulv24231);(-122.61208237990908,38.0954542907369);(-122.61200259672555,38.09544501463021) l(r:p7udm24557-p7ulv24247);(-122.61208237990908,38.0954542907369);(-122.6121963763321,38.0954636797042) l(r:p7udm24564-p7ulv24518);(-122.6121170218356,38.09537329075711);(-122.61223073241347,38.09543675496949) l(r:p7udm24555-p7ulv24163);(-122.61215166368498,38.09529229076556);(-122.61209483233588,38.09525605236152) l(r:p7udm24560-p7ulv24423);(-122.61218630545719,38.09521129076228);(-122.61218678171436,38.09512116529365) l(r:p7udm24560-p7ulv24494);(-122.61218630545719,38.09521129076228);(-122.61224366062405,38.09514839110679) l(r:p7udm24555-p7udm24560);(-122.61218630545719,38.09521129076228) l(r:p7udm24555-p7udm24564);(-122.61215166368498,38.09529229076556) l(r:p7udm24557-p7udm24564);(-122.6121170218356,38.09537329075711) l(r:p7udm24557-p7udt5931lv);(-122.612047690262,38.095544303251096);(-122.61208237990908,38.0954542907369) l(r:p7udm24565-p7ulv24558);(-122.61194376377487,38.09578730308432);(-122.61204630859402,38.09580566708315) l(r:p7udm24556-p7ulv24229);(-122.61187447906431,38.09594930291443);(-122.6117832432143,38.095949001533334) l(r:p7udm24556-p7ulv24506);(-122.61187447906431,38.09594930291443);(-122.61196542899027,38.09600367949698) l(r:p7udm24559-p7ulv24263);(-122.6117706944554,38.09616526493692);(-122.61185028771551,38.09621059138372) l(r:p7udm24561-p7ulv24490);(-122.61166748152871,38.096273076322234);(-122.61176997927559,38.09630045310862) l(r:p7udm24559-p7udm24561);(-122.61173624249747,38.09621021462062);(-122.61166748152871,38.096273076322234) l(r:p7udm24556-p7udm24559);(-122.61182843209943,38.09603026513966);(-122.61179374186315,38.09612027756693);(-122.6117706944554,38.09616526493692) l(r:p7udm24556-p7udm24565);(-122.61190912145818,38.09586830300524);(-122.61187447906431,38.09594930291443) l(r:p7udm24565-p7udt5931lv);(-122.61197840601442,38.09570630315166);(-122.61194376377487,38.09578730308432) l(r:p7udt5932lv-p7ulv24496);(-122.61330873697885,38.09646775547121);(-122.61339987853236,38.096486080763626) l(r:p7udm24568-p7ulv24218);(-122.61335520995237,38.09630567974795);(-122.61321845049115,38.09628720427821) l(r:p7udm24572-p7ulv24384);(-122.61340168271771,38.09614360400072);(-122.61353834703678,38.096180104350005) l(r:p7udm24567-p7ulv24164);(-122.61343675078638,38.09598149071351);(-122.61334570481843,38.09594514035766) l(r:p7udm24573-p7ulv24463);(-122.61351715176978,38.09587360273738);(-122.61339198745453,38.09581911479183) l(r:p7udm24569-p7ulv24257);(-122.61360881461476,38.09579278984558);(-122.61366545735015,38.095865077709924) l(r:p7udm24569-p7udm24573);(-122.61356295948862,38.09583770257435);(-122.61360881461476,38.09579278984558) l(r:p7udm24567-p7udm24573);(-122.6134712490677,38.09592752797626);(-122.61351715176978,38.09587360273738) l(r:p7udm24567-p7udm24572);(-122.61341351452127,38.09606252859976);(-122.61343675078638,38.09598149071351) l(r:p7udm24568-p7udm24572);(-122.61337844636105,38.096224641877335);(-122.61340168271771,38.09614360400072) l(r:p7udm24568-p7udt5932lv);(-122.61333197349163,38.09638671761257);(-122.61335520995237,38.09630567974795) l(r:p7udm24571-p7ulv24333);(-122.61328559540019,38.09653076823199);(-122.61314883553818,38.09651229267979) l(r:p7udm24575-p7ulv24502);(-122.61326250127738,38.09658476844153);(-122.61382075668904,38.096694756836285) l(r:p7udm24570-p7ulv24310);(-122.61322795502963,38.096647743654685);(-122.61334166854279,38.09671120679404) l(r:p7udm24578-p7ulv24572);(-122.61319345622692,38.096701706311094);(-122.61377385758496,38.096937945650154) l(r:p7udm24577-p7ulv24535);(-122.61302119907974,38.0969264567047);(-122.61294165185284,38.096872118503455) l(r:p7udm24576-p7ulv24511);(-122.61296374810642,38.097007381806534);(-122.61310022374128,38.09707993284694) l(r:p7udm24576-p7udm24577);(-122.61296374810642,38.097007381806534) l(r:p7udm24577-p7udm24578);(-122.61313605313278,38.09677361895682);(-122.61307860240676,38.09685454411823);(-122.61302119907974,38.0969264567047) l(r:p7udm24570-p7udm24578);(-122.61319345622692,38.096701706311094) l(r:p7udm24570-p7udm24575);(-122.61322795502963,38.096647743654685) l(r:p7udm24571-p7udm24575);(-122.61326250127738,38.09658476844153) l(r:p7udm24571-p7udt5932lv);(-122.61328559540019,38.09653076823199) l(r:p7udt5936lv-p7ulv24228);(-122.61001985923197,38.09513201933588);(-122.61013419001725,38.09507832248716) l(r:p7udm24597-p7ulv24425);(-122.61013318458288,38.095267585924944);(-122.61006437525269,38.09533945924028) l(r:p7udm24594-p7ulv24170);(-122.61019001496399,38.095303825272445);(-122.61012125353459,38.09536668607641) l(r:p7udm24599-p7ulv24485);(-122.61024679753707,38.09534907713706);(-122.61029284580755,38.09526811552933) l(r:p7udm24594-p7udm24599);(-122.61024679753707,38.09534907713706) l(r:p7udm24594-p7udm24597);(-122.61019001496399,38.095303825272445) l(r:p7udm24597-p7udt5936lv);(-122.61005383284957,38.095177195596456);(-122.61008775862534,38.095231384391596);(-122.61013318458288,38.095267585924944) l(r:p7udm24598-p7ulv24459);(-122.60930205682705,38.095003457130986);(-122.60932452965025,38.09506662077093) l(r:p7udm24596-p7ulv24259);(-122.60916539684388,38.09496695187084);(-122.60908556656933,38.09496668633159) l(r:p7udm24596-p7udm24598);(-122.60916539684388,38.09496695187084) l(r:p7udm24598-p7udt5936lv);(-122.60998598144765,38.0950688179761);(-122.60995210372127,38.09500561660616);(-122.60991822605286,38.09494241522609);(-122.60982694356069,38.09495112487758);(-122.60974711329706,38.094950859787865);(-122.60965587871135,38.09495055676175);(-122.60956459617967,38.0949592662092);(-122.60947331362692,38.094967975585604);(-122.60938203105312,38.09497668489098);(-122.60930205682705,38.095003457130986) l(r:p7udt5937lv-p7ulv24171);(-122.60914357649564,38.09692263564325);(-122.60923514946555,38.096859851292976) l(r:p7udm24602-p7ulv24283);(-122.60905253151957,38.096886281972026);(-122.60904079079125,38.096949331814194) l(r:p7udm24604-p7ulv24404);(-122.60899574850673,38.096841029539696);(-122.60903025045192,38.09678706813404) l(r:p7udm24604-p7ulv24548);(-122.60899574850673,38.096841029539696);(-122.60890441551598,38.09685875099907) l(r:p7udm24606-p7ulv24509);(-122.6089741878719,38.09660662757414);(-122.60887164272735,38.09658826089499) l(r:p7udm24604-p7udm24606);(-122.60895046622467,38.096777789951396);(-122.60893930175477,38.096732689293475);(-122.6089741878719,38.09660662757414) l(r:p7udm24602-p7udm24604);(-122.60899574850673,38.096841029539696) l(r:p7udm24602-p7udt5937lv);(-122.60905253151957,38.096886281972026) l(r:p7udm24603-p7ulv24395);(-122.60930280945597,38.0970042794807);(-122.60923418963971,38.097040102112125) l(r:p7udm24601-p7ulv24256);(-122.60939385470364,38.09704063295875);(-122.60949654449193,38.09703196156163) l(r:p7udm24601-p7ulv24448);(-122.60939385470364,38.09704063295875);(-122.60947349538863,38.097076948464895) l(r:p7udm24601-p7udm24603);(-122.60939385470364,38.09704063295875) l(r:p7udm24603-p7udt5937lv);(-122.60922321692773,38.09695895131837);(-122.60930280945597,38.0970042794807) l(r:p7udm24616-p7ulv24194);(-122.61123258778159,38.094406008692594);(-122.61118649334644,38.09449598323582) l(r:p7udm24615-p7ulv24174);(-122.61117566207568,38.09438779493874);(-122.61105045428118,38.09434231705536) l(r:p7udm24615-p7ulv24346);(-122.61117566207568,38.09438779493874);(-122.61125635103394,38.09422583320529) l(r:p7udm24615-p7ulv24475);(-122.61117566207568,38.09438779493874);(-122.61103885898962,38.09437832949547) l(r:p7udm24615-p7ulv24591);(-122.61117566207568,38.09438779493874);(-122.6111063770215,38.094549794352524) l(r:p7udm24615-p7udm24616);(-122.61117566207568,38.09438779493874) l(r:p7udm24616-p7udt5940lv);(-122.61123258778159,38.094406008692594) l(r:p7udm24617-p7ulv24214);(-122.61140355595256,38.09442459960074);(-122.61148290855044,38.094514989033954) l(r:p7udm24617-p7ulv24336);(-122.61140355595256,38.09442459960074);(-122.61134562790569,38.09459564941621) l(r:p7udm24620-p7ulv24444);(-122.61151778921757,38.09438892648256);(-122.61149517157794,38.09435280088789) l(r:p7udm24622-p7ulv24507);(-122.61159800045975,38.09431708998625);(-122.61171194736897,38.09433549196304) l(r:p7udm24623-p7ulv24517);(-122.6116210473829,38.094272102633404);(-122.61172354232389,38.09429947945443) l(r:p7udm24622-p7udm24623);(-122.6116210473829,38.094272102633404) l(r:p7udm24620-p7udm24622);(-122.61156359697475,38.09435302709012);(-122.61159800045975,38.09431708998625) l(r:p7udm24617-p7udm24620);(-122.61146067259885,38.09440676305563);(-122.61151778921757,38.09438892648256) l(r:p7udm24617-p7udt5940lv);(-122.61140355595256,38.09442459960074) l(r:p7udm24643-p7ulv24179);(-122.6093512925957,38.09860870113942);(-122.60952246188391,38.098591244667126) l(r:p7udm24643-p7ulv24456);(-122.6093512925957,38.09860870113942);(-122.60921452989588,38.098590220999185) l(r:p7udm24643-p7udt5945lv);(-122.60931750977753,38.09852747454147);(-122.6093512925957,38.09860870113942) l(r:p7udm24644-p7ulv24332);(-122.60924970440392,38.098410084008115);(-122.60945527969122,38.09835669124496) l(r:p7udm24644-p7ulv24611);(-122.60924970440392,38.098410084008115);(-122.60939830340415,38.09834748916712) l(r:p7udm24646-p7ulv24574);(-122.60918175524561,38.09831973105091);(-122.60909018039773,38.098382515333824) l(r:p7udm24644-p7udm24646);(-122.60921572980392,38.09836490753449);(-122.60918175524561,38.09831973105091) l(r:p7udm24644-p7udt5945lv);(-122.60924970440392,38.098410084008115) l(r:p7udm24659-p7ulv24184);(-122.61283350823476,38.09359113794721);(-122.6129248362297,38.093573413397046) l(r:p7udm24659-p7udt5947lv);(-122.61288991083867,38.09370848894857);(-122.6128332229729,38.093645213246816);(-122.61283350823476,38.09359113794721) l(r:p7udm24660-p7ulv24434);(-122.61298085876112,38.09376286475503);(-122.61307251953673,38.09368205224155) l(r:p7udm24660-p7udt5947lv);(-122.61298085876112,38.09376286475503) l(r:p7udt5948lv-p7ulv24416);(-122.61259166243194,38.098358060726554);(-122.61269468669246,38.09828629874678) l(r:p7udt5948lv-p7ulv24417);(-122.61259166243194,38.098358060726554);(-122.61246597102567,38.098402709763995) l(r:p7udm24670-p7ulv24515);(-122.61253501885562,38.098285772370616);(-122.6124094227471,38.09831239626401) l(r:p7udm24661-p7ulv24187);(-122.61242173203668,38.09814119557595);(-122.61253611337976,38.098078483890845) l(r:p7udm24661-p7ulv24470);(-122.61242173203668,38.09814119557595);(-122.61229599328374,38.09819485697705) l(r:p7udm24663-p7ulv24314);(-122.61236508879404,38.09806890713725);(-122.61245675566302,38.09798809519592) l(r:p7udm24662-p7ulv24284);(-122.61172842223168,38.09768827177707);(-122.61164806431414,38.097787145928834) l(r:p7udm24662-p7udm24663);(-122.61230844566263,38.097996618670926);(-122.6121608501342,38.09786995381992);(-122.61208130253264,38.09781561504289);(-122.61200170739617,38.09777028875481);(-122.61191065993695,38.09773393729);(-122.61181951721811,38.09771561084005);(-122.61172842223168,38.09768827177707) l(r:p7udm24661-p7udm24663);(-122.61236508879404,38.09806890713725) l(r:p7udm24661-p7udm24670);(-122.61247837539055,38.09821348398708);(-122.61242173203668,38.09814119557595) l(r:p7udm24670-p7udt5948lv);(-122.61253501885562,38.098285772370616) l(r:p7udm24671-p7ulv24566);(-122.61270494991821,38.09850263735565);(-122.61283073645741,38.09843996297845) l(r:p7udm24668-p7ulv24454);(-122.61281828540349,38.09863820133218);(-122.61273802302487,38.09871905113159) l(r:p7udm24664-p7ulv24356);(-122.61287492952908,38.098710489550186);(-122.6130008588774,38.0986207773672) l(r:p7udm24665-p7ulv24362);(-122.6129315737659,38.09878277774062);(-122.61283995396815,38.098854577497) l(r:p7udm24664-p7udm24665);(-122.6129315737659,38.09878277774062) l(r:p7udm24664-p7udm24668);(-122.61287492952908,38.098710489550186) l(r:p7udm24668-p7udm24671);(-122.6127615938282,38.09857492562881);(-122.61281828540349,38.09863820133218) l(r:p7udm24671-p7udt5948lv);(-122.61264830611945,38.098430349054894);(-122.61270494991821,38.09850263735565) l(r:p7udt5952lv-p7ulv24191);(-122.61169531138826,38.09963490525667);(-122.61163747520418,38.099787929967405) l(r:p7udt5952lv-p7ulv24351);(-122.61169531138826,38.09963490525667);(-122.61157042830439,38.09952634014136) l(r:p7udt5952lv-p7ulv24534);(-122.61169531138826,38.09963490525667);(-122.61156985579673,38.099634490614385) l(r:p7udm24681-p7ulv24658);(-122.61188953099614,38.099572458025996);(-122.61185603096946,38.09943715691414) l(r:p7udm24681-p7udt5952lv);(-122.61178674254985,38.09959915657222);(-122.61188953099614,38.099572458025996) l(r:p7udm24689-p7ulv24411);(-122.61083638459337,38.09599994578983);(-122.6107790753545,38.0960538321952) l(r:p7udm24685-p7ulv24285);(-122.61090442924348,38.09607227273714);(-122.6108130976592,38.09608999569005) l(r:p7udm24685-p7ulv24324);(-122.61090442924348,38.09607227273714);(-122.61104156984175,38.0960186505412) l(r:p7udm24691-p7ulv24532);(-122.61096106951699,38.096144561888416);(-122.6110868057077,38.09609090188333) l(r:p7udm24692-p7ulv24597);(-122.61107435039767,38.096289140108226);(-122.61098297080744,38.096315875735144) l(r:p7udm24682-p7ulv24192);(-122.61118763172318,38.09643371821768);(-122.61109610870739,38.096487491562335) l(r:p7udm24682-p7udm24692);(-122.61113099100483,38.09636142917675);(-122.61118763172318,38.09643371821768) l(r:p7udm24691-p7udm24692);(-122.61101770990172,38.09621685101213);(-122.61107435039767,38.096289140108226) l(r:p7udm24685-p7udm24691);(-122.61096106951699,38.096144561888416) l(r:p7udm24685-p7udm24689);(-122.61090442924348,38.09607227273714) l(r:p7udm24689-p7udt5953lv);(-122.61083638459337,38.09599994578983) l(r:p7udm24690-p7ulv24427);(-122.61072310462497,38.09585536733845);(-122.61063182108639,38.09586407760818) l(r:p7udm24683-p7ulv24233);(-122.61066646480758,38.09578307807139);(-122.61076943949868,38.095720330283214) l(r:p7udm24687-p7ulv24365);(-122.61055318550645,38.095638499454495);(-122.61064485129944,38.095557688887574) l(r:p7udm24688-p7ulv24393);(-122.61043990665013,38.09549392072725);(-122.61034847997368,38.09552966841285) l(r:p7udm24684-p7ulv24274);(-122.61037171944434,38.09544863114273);(-122.61048609830534,38.09538592141113) l(r:p7udm24684-p7udm24688);(-122.61037171944434,38.09544863114273) l(r:p7udm24687-p7udm24688);(-122.61049654602267,38.09556621010466);(-122.61043990665013,38.09549392072725) l(r:p7udm24683-p7udm24687);(-122.61060982510142,38.09571078877672);(-122.61055318550645,38.095638499454495) l(r:p7udm24683-p7udm24690);(-122.61066646480758,38.09578307807139) l(r:p7udm24690-p7udt5953lv);(-122.61072310462497,38.09585536733845) l(r:p7udt5966lv-p7ulv24607);(-122.60926261346611,38.09598571058676);(-122.60938796684377,38.09600415274392) l(r:p7udm24762-p7ulv24414);(-122.60922796810138,38.09606670969796);(-122.60914804062911,38.09608446927919) l(r:p7udm24764-p7ulv24620);(-122.60919337065447,38.09613869625526);(-122.60929577130746,38.09618410027875) l(r:p7udm24759-p7ulv24211);(-122.60915872513979,38.09621969534312);(-122.60909044204531,38.096192430105354) l(r:p7udm24759-p7ulv24312);(-122.60915872513979,38.09621969534312);(-122.60926131783093,38.09622904922841) l(r:p7udm24759-p7udm24764);(-122.60915872513979,38.09621969534312) l(r:p7udm24762-p7udm24764);(-122.60919337065447,38.09613869625526) l(r:p7udm24762-p7udt5966lv);(-122.60922796810138,38.09606670969796) l(r:p7udm24765-p7ulv24661);(-122.60921910715095,38.09558900701099);(-122.60913913219717,38.09561577913336) l(r:p7udm24761-p7ulv24353);(-122.6091058310096,38.09544442701115);(-122.60916294900049,38.09542659159332) l(r:p7udm24761-p7udm24765);(-122.60917377744252,38.09553478004152);(-122.60913980420523,38.095489603531306);(-122.6091058310096,38.09544442701115) l(r:p7udm24765-p7udt5966lv);(-122.60929725875367,38.09590471146382);(-122.60932045152687,38.09583268695648);(-122.60933209587353,38.09578766215783);(-122.60930962282838,38.09572449852587);(-122.60926443692618,38.095643233962825);(-122.60921910715095,38.09558900701099) l(r:p7udt5967lv-p7ulv24294);(-122.61170761565212,38.097309669611114);(-122.61185578131665,38.097328184515945) l(r:p7udm24766-p7ulv24212);(-122.61168366167696,38.09752589526659);(-122.61154675725706,38.09753445547294) l(r:p7udm24773-p7ulv24457);(-122.61155754179228,38.09765165622572);(-122.61153406435905,38.09777775641421) l(r:p7udm24771-p7ulv24383);(-122.61191065993695,38.09773393729);(-122.61200246983938,38.09762608807044) l(r:p7udm24775-p7ulv24653);(-122.61200170739617,38.09777028875481);(-122.61192144508026,38.09785113800868) l(r:p7udm24771-p7udm24775);(-122.61200685038338,38.09776224453237) l(r:p7udm24771-p7udm24773);(-122.61163727961622,38.0976699451862);(-122.61173123455235,38.097679537466206);(-122.6118235663344,38.09770718536198);(-122.61191347223776,38.09772520297472) l(r:p7udm24766-p7udm24773);(-122.61166047066963,38.097597920229255);(-122.61155754179228,38.09765165622572) l(r:p7udm24766-p7udt5967lv);(-122.61170728183419,38.097372757412174);(-122.61169559099301,38.09742679498343);(-122.61168390013425,38.09748083255292);(-122.61168366167696,38.09752589526659) l(r:p7udm24774-p7ulv24505);(-122.61167397388193,38.097201406032276);(-122.61177699739929,38.09712964483904) l(r:p7udm24772-p7ulv24428);(-122.61157214277964,38.09704785355472);(-122.61149192850439,38.09711968998374) l(r:p7udm24767-p7ulv24290);(-122.61152695356226,38.096966589862056);(-122.6116640000031,38.09693099204152) l(r:p7udm24767-p7ulv24380);(-122.61152695356226,38.096966589862056);(-122.6114582394193,38.09702043888509) l(r:p7udm24769-p7ulv24369);(-122.61143652770528,38.09681307496637);(-122.61134519532237,38.09683079832632) l(r:p7udm24767-p7udm24769);(-122.61148171672913,38.09689433869488);(-122.61143652770528,38.09681307496637) l(r:p7udm24767-p7udm24772);(-122.61152695356226,38.096966589862056) l(r:p7udm24772-p7udm24774);(-122.61162878445838,38.09712014237978);(-122.61157214277964,38.09704785355472) l(r:p7udm24774-p7udt5967lv);(-122.61168509241273,38.09725551897938);(-122.61167397388193,38.097201406032276) l(r:p7udm24783-p7ulv24216);(-122.61097589980115,38.097649732066564);(-122.61088528311305,38.097532266933804) l(r:p7udm24783-p7ulv24405);(-122.61097589980115,38.097649732066564);(-122.61103249357765,38.097731033712655) l(r:p7udm24786-p7ulv24628);(-122.61109004277527,38.097632084495814);(-122.61102242646284,38.09747864478836) l(r:p7udm24783-p7udm24786);(-122.61109004277527,38.097632084495814) l(r:p7udm24783-p7udt5969lv);(-122.61097589980115,38.097649732066564) l(r:p7udm24785-p7ulv24582);(-122.6106105175798,38.09772963615283);(-122.61063265759837,38.09785588731163) l(r:p7udm24785-p7udt5969lv);(-122.61079318488822,38.097694190523136);(-122.6107018512558,38.09771191337363);(-122.6106105175798,38.09772963615283) l(r:p7udm24787-p7ulv24219);(-122.60896645594116,38.09805764652796);(-122.60884071483727,38.098111304219536) l(r:p7udm24788-p7ulv24480);(-122.60898902543434,38.09810278512494);(-122.60888609390967,38.0981565187623) l(r:p7udm24787-p7udm24788);(-122.60898902543434,38.09810278512494) l(r:p7udm24787-p7udt5970lv);(-122.60893243364731,38.09802148251056);(-122.60896645594116,38.09805764652796) l(r:p7udm24789-p7ulv24617);(-122.60889893976373,38.09788618055337);(-122.60872777198122,38.09790363612761) l(r:p7udm24789-p7ulv24669);(-122.60889893976373,38.09788618055337);(-122.60897872518805,38.09789545877577) l(r:p7udm24790-p7ulv24649);(-122.60892237372593,38.09776909345783);(-122.60875154258628,38.0977234612937) l(r:p7udm24789-p7udm24790);(-122.60891063273807,38.097832143276165);(-122.60892237372593,38.09776909345783) l(r:p7udm24789-p7udt5970lv);(-122.60889893976373,38.09788618055337) l(r:p7udm24799-p7ulv24292);(-122.6098917784153,38.097772315739554);(-122.60991401302729,38.097880541945756) l(r:p7udm24799-p7ulv24311);(-122.6098917784153,38.097772315739554);(-122.60984678229471,38.097655001256356) l(r:p7udm24800-p7ulv24296);(-122.60999446917322,38.09776364391362);(-122.60998363922026,38.09765545557377) l(r:p7udm24799-p7udm24800);(-122.60999446917322,38.09776364391362) l(r:p7udm24799-p7udt5972lv);(-122.6098917784153,38.097772315739554) l(r:p7udm24802-p7ulv24453);(-122.60959520666312,38.097780343489475);(-122.6096287976747,38.09789762017752) l(r:p7udm24798-p7ulv24224);(-122.60944704068172,38.0977618257338);(-122.60947047372889,38.09764473852153) l(r:p7udm24798-p7udm24802);(-122.60951537332402,38.09778007822574);(-122.60944704068172,38.0977618257338) l(r:p7udm24802-p7udt5972lv);(-122.60968644476625,38.097780646581626);(-122.60959520666312,38.097780343489475) l(r:p7udm24803-p7ulv24225);(-122.61316955679388,38.09907196777201);(-122.61329548640887,38.098982255280205) l(r:p7udm24803-p7ulv24433);(-122.61316955679388,38.09907196777201);(-122.61307784181774,38.0991617927931) l(r:p7udm24804-p7ulv24252);(-122.61246102083001,38.099340014076034);(-122.6126086673961,38.09945766599631) l(r:p7udm24804-p7ulv24386);(-122.61246102083001,38.099340014076034);(-122.61235894708844,38.099231525015725) l(r:p7udm24803-p7udm24804);(-122.61310150714377,38.09899964214631);(-122.61300998230102,38.09905341694848);(-122.61291850486697,38.09909817913654);(-122.61282697976782,38.09915195379388);(-122.61273550210001,38.09919671583747);(-122.61265002861151,38.09924914035428);(-122.6125593037746,38.09930248484472);(-122.61246702510935,38.09934767663058) l(r:p7udm24803-p7udt5973lv);(-122.61316955679388,38.09907196777201) l(r:p7udm24805-p7ulv24253);(-122.6133623015451,38.09928890688564);(-122.61351089886816,38.099226306843825) l(r:p7udm24805-p7ulv24258);(-122.6133623015451,38.09928890688564);(-122.61320229895779,38.099351469195895) l(r:p7udm24805-p7ulv24385);(-122.6133623015451,38.09928890688564);(-122.61349968376074,38.099190219162956) l(r:p7udm24805-p7udt5973lv);(-122.61329425150471,38.09921658137212);(-122.6133623015451,38.09928890688564) l(r:p7udt5975lv-p7ulv24234);(-122.613837710013,38.09564032630732);(-122.61381565996327,38.09549605058255) l(r:p7udt5975lv-p7ulv24552);(-122.613837710013,38.09564032630732);(-122.61373573419705,38.09551381329533) l(r:p7udt5981lv-p7ulv24657);(-122.6127800848511,38.097232094263234);(-122.61291665579795,38.09728662042671) l(r:p7udm24867-p7ulv24240);(-122.61272263337072,38.097313019240254);(-122.61287070421838,38.09734955795514) l(r:p7udm24869-p7ulv24577);(-122.61266522933386,38.09738493164341);(-122.61254011067686,38.097321430250055) l(r:p7udm24867-p7udm24869);(-122.61266522933386,38.09738493164341) l(r:p7udm24867-p7udt5981lv);(-122.61272263337072,38.097313019240254) l(r:p7udm24868-p7ulv24289);(-122.61283748865507,38.09716018180083);(-122.61272358463744,38.097132768354776) l(r:p7udm24868-p7udt5981lv);(-122.61283748865507,38.09716018180083) l(r:p7udt5990lv-p7ulv24604);(-122.6092898052723,38.09944667756672);(-122.60934620661403,38.09956403014573) l(r:p7udm24904-p7ulv24326);(-122.60958719897499,38.09928543748696);(-122.60974710859288,38.099240905190484) l(r:p7udm24903-p7ulv24261);(-122.60976809723664,38.09958345737748);(-122.60983671918417,38.09954763446127) l(r:p7udm24905-p7ulv24335);(-122.6099385500656,38.099701188376535);(-122.60983542528724,38.099790972971675) l(r:p7udm24903-p7udm24905);(-122.6098248828365,38.09962870942955);(-122.60988166850643,38.09967396145422);(-122.6099385500656,38.099701188376535) l(r:p7udm24903-p7udm24904);(-122.60965486185516,38.09942986542825);(-122.60968874133424,38.09949306684596);(-122.60973412177917,38.09953828105805);(-122.60976809723664,38.09958345737748) l(r:p7udm24904-p7udt5990lv);(-122.60940399941146,38.09942001911923);(-122.60950678845512,38.09939332265988);(-122.60958719897499,38.09928543748696) l(r:p7udm24906-p7ulv24403);(-122.60908427482063,38.09949105747634);(-122.6089125749444,38.09960765119829) l(r:p7udm24906-p7ulv24493);(-122.60908427482063,38.09949105747634);(-122.60889048542373,38.099472387229554) l(r:p7udm24906-p7ulv24523);(-122.60908427482063,38.09949105747634);(-122.60911762572236,38.09965339695966) l(r:p7udm24906-p7ulv24527);(-122.60908427482063,38.09949105747634);(-122.60899370695013,38.099364578388744) l(r:p7udm24906-p7ulv24578);(-122.60908427482063,38.09949105747634);(-122.60907195749351,38.099662257731964) l(r:p7udm24906-p7udt5990lv);(-122.60918701607643,38.0994733738352);(-122.60908427482063,38.09949105747634) l(r:p7udt5991lv-p7ulv24262);(-122.6099652323833,38.09468120284025);(-122.60989690250562,38.09466295061534) l(r:p7udm24914-p7ulv24440);(-122.60997711562663,38.09459111523902);(-122.61005708915978,38.094564342533914) l(r:p7udm24913-p7ulv24307);(-122.61001228626267,38.0944109778765);(-122.61011468502706,38.09445638118939) l(r:p7udm24916-p7ulv24571);(-122.61002416940164,38.094320890265806);(-122.60995593563999,38.09428461298413) l(r:p7udm24913-p7udm24916);(-122.61002416940164,38.094320890265806) l(r:p7udm24913-p7udm24914);(-122.61000040309357,38.09450106548446);(-122.61001228626267,38.0944109778765) l(r:p7udm24914-p7udt5991lv);(-122.60997711562663,38.09459111523902) l(r:p7udm24918-p7ulv24595);(-122.60993010939893,38.09485232763415);(-122.61005570045134,38.09482570634787) l(r:p7udm24917-p7ulv24583);(-122.60982694356069,38.09495112487758);(-122.6098380124876,38.09501425055553) l(r:p7udm24915-p7ulv24537);(-122.60965587871135,38.09495055676175);(-122.60961059701053,38.09488731741074) l(r:p7udm24915-p7udm24917);(-122.60974706537213,38.09495987233242);(-122.6096558307752,38.09495956930622) l(r:p7udm24917-p7udm24918);(-122.60983573967907,38.09495686126785) l(r:p7udm24918-p7udt5991lv);(-122.60995334910987,38.094771290438764);(-122.60993010939893,38.09485232763415) l(r:p7udt6001lv-p7ulv24299);(-122.60946351879241,38.098951556696036);(-122.60932661147463,38.098960114297824) l(r:p7udm24952-p7ulv24381);(-122.60949730196373,38.099032783248084);(-122.60938315643824,38.09905042925358) l(r:p7udm24952-p7udt6001lv);(-122.60949730196373,38.099032783248084) l(r:p7udm24953-p7ulv24399);(-122.60944118859639,38.0988613555027);(-122.60961221460965,38.09887093651858) l(r:p7udm24953-p7udt6001lv);(-122.60944118859639,38.0988613555027) l(r:p7udt6013lv-p7ulv24605);(-122.61030249344441,38.097746640437364);(-122.61032587726456,38.09763856558785) l(r:p7udm25002-p7ulv24348);(-122.61040513627202,38.097746980792984);(-122.61038165682855,38.097873080738296) l(r:p7udm25002-p7udt6013lv);(-122.61040513627202,38.097746980792984) l(r:p7udm25004-p7ulv24615);(-122.61019980274438,38.09775531253283);(-122.61023339471262,38.09787258905374) l(r:p7udm25004-p7udt6013lv);(-122.61019980274438,38.09775531253283) l(r:p7udt6017lv-p7ulv24374) l(r:p7udt6031lv-p7ulv24570);(-122.61248151661984,38.09761865615249);(-122.61259527855832,38.0976731074649) l(r:p7udm25066-p7ulv24421);(-122.61253896860728,38.097537731300285);(-122.6124137545978,38.097492254860406) l(r:p7udm25066-p7udt6031lv);(-122.61253896860728,38.097537731300285) l(r:p7udm25068-p7ulv24668);(-122.61242411210597,38.097690568431574);(-122.61229904075714,38.097618054239874) l(r:p7udm25068-p7udt6031lv);(-122.61242411210597,38.097690568431574) l(r:p7udt6032lv-p7ulv24473);(-122.61034879901725,38.09332155681894);(-122.61028080530079,38.09324021698501) l(r:p7udm25069-p7ulv24431);(-122.61025727929336,38.093375329556444);(-122.6096002052595,38.09255299213991) l(r:p7udm25069-p7udt6032lv);(-122.61025727929336,38.093375329556444) l(r:p7udm25071-p7ulv24533);(-122.61044031860727,38.093267784008944);(-122.60953360353629,38.092210287967944) l(r:p7udm25071-p7udt6032lv);(-122.61044031860727,38.093267784008944) l(r:p7udm25079-p7ulv24477);(-122.60925007365476,38.09405695033904);(-122.60918160056002,38.09406573533651) l(r:p7udm25079-p7udt6036lv);(-122.60929641006965,38.09392191383183);(-122.60923900537125,38.09399382459894);(-122.60925007365476,38.09405695033904) l(r:p7udm25080-p7ulv24478);(-122.60952540438532,38.093751433569665);(-122.60943455498263,38.09367903001031) l(r:p7udm25080-p7udt6036lv);(-122.60943393148635,38.09379619310976);(-122.60952540438532,38.093751433569665) l(r:p7udt6043lv-p7ulv24529) l(r:p7udt6046lv-p7ulv24563);(-122.60742273451126,38.10094557476049);(-122.60742750825824,38.100053333939144) l(r:p7udm25103-p7ulv33013);(-122.60733144426557,38.100954282422144);(-122.6072781805744,38.10025111424981) l(r:p7udm25103-p7udt6046lv);(-122.60733144426557,38.100954282422144) l(r:p7udm25109-p7ulv24596);(-122.61139281827829,38.09645242236139);(-122.61148367303575,38.0965248244016) l(r:p7udm25109-p7udt6049lv);(-122.61139281827829,38.09645242236139) l(r:p7udm25110-p7ulv24666);(-122.61153010236269,38.09637176196797);(-122.61146205681764,38.096299435381816) l(r:p7udm25110-p7udt6049lv);(-122.61153010236269,38.09637176196797) l(r:p7udt6051lv-p7ulv24600);(-122.60896160765616,38.094686880084296);(-122.60822105109963,38.09454922285456) l(r:p7udt6054lv-p7ulv24613);(-122.6128152643572,38.09272585793916);(-122.61244967070267,38.092850830708414) l(r:p7udt6438lv-p7ulv26145) l(r:p7udt6620lv-p7ulv27084);(-122.6510341357474,38.06560907362718);(-122.65113694811541,38.06556431502865) l(r:p7udm27523-p7ulv35395);(-122.65076126839837,38.0654550462912);(-122.6509097655206,38.06539239810282) l(r:p7udm27523-p7udt6620lv);(-122.65086348109003,38.06553646477437);(-122.65076126839837,38.0654550462912) l(r:p7udt6628lv-p7ulv27133);(-122.65070001273733,38.05436014753344);(-122.65132891641606,38.05393841412693) l(r:p7udt6667lv-p7ulv27202);(-122.65402201470124,38.05569484984403);(-122.65407887886781,38.055722055519254) l(r:p7udt6667lv-p7ulv27437);(-122.65402201470124,38.05569484984403);(-122.65397620938971,38.05573977889218) l(r:p7udt6667lv-p7ulv27512);(-122.65402201470124,38.05569484984403);(-122.65404523552407,38.055604790438714) l(r:p7udm27725-p7ulv27328);(-122.65385125265969,38.055649283252734);(-122.65393133768352,38.05558642962783) l(r:p7udm27725-p7udt6667lv);(-122.65385125265969,38.055649283252734) l(r:p7udm28059-p7ulv27724);(-122.6460058662426,38.04922684694318);(-122.64602948535016,38.049055675190196) l(r:p7udm28059-p7udt6754lv);(-122.64582364014444,38.04919925972177);(-122.6459147748822,38.04920854704174);(-122.6460058662426,38.04922684694318) l(r:p7udm28060-p7ulv27957);(-122.64567591006667,38.04910868671731);(-122.6453895874658,38.049396232411915) l(r:p7udm28060-p7udt6754lv);(-122.64567591006667,38.04910868671731) l(r:p7udt6778lv-p7ulv27778);(-122.64754013375314,38.050141748045036);(-122.64770047509273,38.04997999860486) l(r:p7udt6778lv-p7ulv27791);(-122.64754013375314,38.050141748045036);(-122.64736839426503,38.05030346307014) l(r:p7udt6783lv-p7ulv27787);(-122.64611295398664,38.04828983988522);(-122.64629613194968,38.04811914826706) l(r:p7udt6798lv-p7ulv27844);(-122.64708509289706,38.05470986132273);(-122.64697050557362,38.05483569609162) l(r:p7udt6798lv-p7ulv27986);(-122.64708509289706,38.05470986132273);(-122.64718828172197,38.05458399222838) l(r:p7udt6799lv-p7ulv27845);(-122.65024550509179,38.05406137448906);(-122.6503040389573,38.053737088675554) l(r:p7udt6802lv-p7ulv27852);(-122.64791918390989,38.04953001472654);(-122.64785209522294,38.04925943028991) l(r:p7udt6802lv-p7ulv27971);(-122.64791918390989,38.04953001472654);(-122.64797487571869,38.049800564985574) l(r:p7udt6813lv-p7ulv27932) l(r:p7udt7080lv-p7ulv28888);(-122.66129256867669,38.07336301352299);(-122.66138548056307,38.072993758509476) l(r:p7udt7080lv-p7ulv28898);(-122.66129256867669,38.07336301352299);(-122.66142838400059,38.073579710819274) l(r:p7udt7080lv-p7ulv28913);(-122.66129256867669,38.07336301352299);(-122.66107524197622,38.07351560375986) l(r:p7udt7093lv-p7ulv28935);(-122.61595443553472,38.10958088756436);(-122.61570330133694,38.10961611717362) l(r:p7udt7094lv-p7ulv28945);(-122.6539996032942,38.072557661126034);(-122.65409008894069,38.0727111441964) l(r:p7udt7094lv-p7ulv28970);(-122.6539996032942,38.072557661126034);(-122.65392051890224,38.07240421155452) l(r:p7udm29474-p7ulv29353);(-122.63245175986486,38.102135091968876);(-122.63229122509397,38.10230583097715) l(r:p7udm29474-p7ulv29463);(-122.63245175986486,38.102135091968876);(-122.63261220363039,38.10198237784775) l(r:p7udm29474-p7udt7147lv);(-122.63245175986486,38.102135091968876) l(r:p7udm29476-p7ulv29494);(-122.6322828459261,38.10170195394948);(-122.63235141382015,38.101675130408815) l(r:p7udm29476-p7udt7147lv);(-122.63229316731454,38.10191829102234);(-122.63229352865378,38.1018461905628);(-122.63228248457821,38.101774054410726);(-122.6322828459261,38.10170195394948) l(r:p7udt7150lv-p7ulv29356);(-122.63189628308524,38.1014574007253);(-122.63182735343881,38.10155632446179) l(r:p7udt7150lv-p7ulv29503);(-122.63189628308524,38.1014574007253);(-122.6321481951513,38.10125991022677) l(r:p7udm29539-p7ulv29372);(-122.6310048970903,38.10180610016632);(-122.63087753316817,38.102184233465856) l(r:p7udm29539-p7udt7165lv);(-122.63092514984356,38.101787824313206);(-122.6310048970903,38.10180610016632) l(r:p7udm29540-p7ulv29474);(-122.63066305216657,38.10174193728953);(-122.63073348038314,38.10134559988503) l(r:p7udm29540-p7udt7165lv);(-122.63066305216657,38.10174193728953) l(r:p7udt7175lv-p7ulv29391);(-122.63894458716587,38.10384059665173);(-122.63970806384846,38.10398714331424) l(r:p7udt7176lv-p7ulv29392);(-122.632861139141,38.10237971464033);(-122.63301040251255,38.10218190155521) l(r:p7udm29595-p7ulv29410);(-122.62954486738526,38.10182854428434);(-122.62953191474003,38.10213493517833) l(r:p7udm29595-p7udt7185lv);(-122.62963615622726,38.10181981935778);(-122.62954486738526,38.10182854428434) l(r:p7udm29596-p7ulv29450);(-122.6298301392626,38.10180240522235);(-122.62986299152868,38.10207288965485) l(r:p7udm29596-p7udt7185lv);(-122.6298301392626,38.10180240522235) l(r:p7udt7193lv-p7ulv29432);(-122.63379504138014,38.10265300985179);(-122.63368170582908,38.10250845341133) l(r:p7udt7196lv-p7ulv29449);(-122.63912011883184,38.105256129214524);(-122.63909806063133,38.10510284564357) l(r:p7udt7197lv-p7ulv29454);(-122.63955314692966,38.105338572636434);(-122.63961230195034,38.10490614469876) l(r:p7udt7536lv-p7ulv31161);(-122.66370158799144,38.10747407754809);(-122.66369030561046,38.107447007163) l(r:p7udt7636lv-p7ulv31449);(-122.64356881671084,38.048813907855305);(-122.64372833391884,38.048823404184674) l(r:p7udt7768lv-p7ulv32055);(-122.67425140944458,38.07690560369283);(-122.67463854479153,38.077023841764145) l(r:p7udt7847lv-p7ulv32439);(-122.60498390846992,38.10482187098604);(-122.6050524406138,38.104804075984134) l(r:p7udt7847lv-p7ulv35528);(-122.60498390846992,38.10482187098604);(-122.60470968244333,38.10491107563917) l(r:p7udm32299-p7ulv32494);(-122.60500642902622,38.104876022818154);(-122.60480073516143,38.104947432668496) l(r:p7udm32302-p7ulv32694);(-122.60502894961547,38.10493017464565);(-122.60465109893737,38.10519928452272) l(r:p7udm32297-p7ulv32428);(-122.60510859664389,38.10496649309653);(-122.60499400412081,38.10506524748009) l(r:p7udm32297-p7udm32302);(-122.60510859664389,38.10496649309653) l(r:p7udm32299-p7udm32302);(-122.60502894961547,38.10493017464565) l(r:p7udm32299-p7udt7847lv);(-122.60500642902622,38.104876022818154) l(r:p7udm32300-p7ulv32551);(-122.60503055081965,38.10463276134152);(-122.60492833522662,38.10455130351785) l(r:p7udm32301-p7ulv32673);(-122.6050879680396,38.1045608528288);(-122.6049401292908,38.10447924166949) l(r:p7udm32300-p7udm32301);(-122.6050879680396,38.1045608528288) l(r:p7udm32300-p7udt7847lv);(-122.60497284231744,38.10475874497099);(-122.60497318201496,38.10469565730025);(-122.60503055081965,38.10463276134152) l(r:p7udt7850lv-p7ulv32433);(-122.60593214331409,38.1045366489462);(-122.60616035624254,38.1045193887162) l(r:p7udt7850lv-p7ulv32490);(-122.60593214331409,38.1045366489462);(-122.60621719177087,38.10455562995649) l(r:p7udt7850lv-p7ulv32516);(-122.60593214331409,38.1045366489462);(-122.60597679845289,38.104717052458696) l(r:p7udm32325-p7ulv32587);(-122.60589816796121,38.1044914715561);(-122.60571528765576,38.10456295953157) l(r:p7udm32325-p7udt7850lv);(-122.60589816796121,38.1044914715561) l(r:p7udt7854lv-p7ulv32438);(-122.60424923729072,38.10357564788881);(-122.60399889660731,38.10346665219504) l(r:p7udt7854lv-p7ulv32451);(-122.60424923729072,38.10357564788881);(-122.60394143053753,38.1035475727129) l(r:p7udt7854lv-p7ulv32657);(-122.60424923729072,38.10357564788881);(-122.60424904283005,38.103611697990175) l(r:p7udt7854lv-p7ulv32660);(-122.60424923729072,38.10357564788881);(-122.60429495713502,38.103557776487904) l(r:p7udt7854lv-p7ulv32771);(-122.60424923729072,38.10357564788881);(-122.60374806990751,38.10344778122093) l(r:p7udt7854lv-p7ulv32915);(-122.60424923729072,38.10357564788881);(-122.60388318577637,38.10377269359734) l(r:p7udm32346-p7ulv32580);(-122.60448720132416,38.10386485516671);(-122.60463528117364,38.1039014042686) l(r:p7udm32346-p7udt7854lv);(-122.60441993338398,38.103648324188924);(-122.60457922413762,38.10372096185979);(-122.60453321277625,38.10379290852294);(-122.60448720132416,38.10386485516671) l(r:p7udm32360-p7ulv32447);(-122.60534078669794,38.104210207424586);(-122.60520430561309,38.10413764742942) l(r:p7udm32364-p7ulv32649);(-122.60554759247144,38.10393150850588);(-122.60541116016884,38.10384993622301) l(r:p7udm32360-p7udm32364);(-122.60539820328079,38.10413829875162);(-122.60544416554727,38.10407536427642);(-122.60550158192251,38.10400345555014);(-122.60554759247144,38.10393150850588) l(r:p7udm32360-p7udt7858lv);(-122.60534078669794,38.104210207424586) l(r:p7udm32362-p7ulv32609);(-122.60551143689607,38.10429189467082);(-122.60563743326412,38.10419317804023) l(r:p7udm32363-p7ulv32633);(-122.60560248925115,38.104328251080645);(-122.60555633313567,38.104427235717) l(r:p7udm32361-p7ulv32478);(-122.60577314001745,38.10440993794826);(-122.60588782729727,38.10429315773038) l(r:p7udm32361-p7udm32363);(-122.60568213591607,38.10436456914445);(-122.60577314001745,38.10440993794826) l(r:p7udm32362-p7udm32363);(-122.60560248925115,38.104328251080645) l(r:p7udm32362-p7udt7858lv);(-122.60551143689607,38.10429189467082) l(r:p7udt7861lv-p7ulv32456);(-122.60708374388359,38.104612606983714);(-122.60659218201168,38.1048182540227) l(r:p7udt7861lv-p7ulv32522);(-122.60708374388359,38.104612606983714);(-122.60685625531589,38.10449468110623) l(r:p7udt7861lv-p7ulv32570);(-122.60708374388359,38.104612606983714);(-122.60707117957308,38.10482886948291) l(r:p7udt7861lv-p7ulv32621);(-122.60708374388359,38.104612606983714);(-122.60716247467316,38.104820162055674) l(r:p7udm32375-p7ulv32495);(-122.60685862181671,38.104053067276844);(-122.60677796048681,38.10420601308045) l(r:p7udm32375-p7udt7861lv);(-122.60714111082233,38.10454970998338);(-122.60718712011627,38.10447776229689);(-122.6072331293195,38.104405814591);(-122.60727913843202,38.10433386686572);(-122.60731522016863,38.10426642708781);(-122.60722800835778,38.10423318644302);(-122.60712610246098,38.104188018075476);(-122.60704063083402,38.10414380300038);(-122.6069496262689,38.10409843517372);(-122.60685862181671,38.104053067276844) l(r:p7udm32380-p7ulv32484);(-122.60570838649797,38.103724756332085);(-122.60578803256335,38.103761074324524) l(r:p7udm32379-p7ulv32463);(-122.60565097054503,38.10379666516513);(-122.60548007901592,38.10376004075244) l(r:p7udm32379-p7udm32380);(-122.60565097054503,38.10379666516513) l(r:p7udm32380-p7udt7862lv);(-122.60570838649797,38.103724756332085) l(r:p7udm32381-p7ulv32711);(-122.60618728000712,38.10375340041181);(-122.60607240048596,38.103906231047425) l(r:p7udm32381-p7udt7862lv);(-122.60581176398257,38.1035899128419);(-122.60590281562038,38.10362626901938);(-122.60600522462154,38.103671675898596);(-122.60609622806919,38.103717044453916);(-122.60618728000712,38.10375340041181) l(r:p7udm32382-p7ulv32790);(-122.60572076086977,38.10354454406711);(-122.6058242349176,38.10339167550567) l(r:p7udm32382-p7udt7862lv);(-122.60580211766656,38.1035851037647);(-122.60572076086977,38.10354454406711) l(r:p7udm32424-p7ulv32493);(-122.60417319037538,38.10287240194408);(-122.60404724297369,38.1029621045023) l(r:p7udm32424-p7ulv32726);(-122.60417319037538,38.10287240194408);(-122.6042424014914,38.102728432028215) l(r:p7udm32428-p7ulv33024);(-122.60427564585534,38.10290879779853);(-122.60457481321166,38.102423119660784) l(r:p7udm32425-p7ulv32496);(-122.60436664727953,38.102954167688196);(-122.60429767923137,38.10305307504091) l(r:p7udm32425-p7udm32428);(-122.60436664727953,38.102954167688196) l(r:p7udm32424-p7udm32428);(-122.60427564585534,38.10290879779853) l(r:p7udm32424-p7udt7873lv);(-122.60417319037538,38.10287240194408) l(r:p7udm32427-p7ulv32756);(-122.6038887329241,38.1027452656945);(-122.60413019411365,38.10238557180759) l(r:p7udm32429-p7ulv33107);(-122.60368392027756,38.10265444817215);(-122.60360349737728,38.10276232917546) l(r:p7udm32427-p7udm32429);(-122.60378632653719,38.10269985697779);(-122.60368392027756,38.10265444817215) l(r:p7udm32427-p7udt7873lv);(-122.60397978255129,38.10278162335639);(-122.6038887329241,38.1027452656945) l(r:p7udm32458-p7ulv32527);(-122.60474006896953,38.1035051984902);(-122.60489955387531,38.10354178562446) l(r:p7udm32458-p7udt7882lv);(-122.60494697206244,38.10320847554358);(-122.60490096138626,38.10328042236076);(-122.60484349645525,38.10336134332863);(-122.60479748558075,38.10343329010234);(-122.60474006896953,38.1035051984902) l(r:p7udm32459-p7ulv32528);(-122.60523143315896,38.10333560924117);(-122.60518522868045,38.10344360627575) l(r:p7udm32461-p7ulv32988);(-122.60533384133531,38.10338101670329);(-122.60544886695806,38.10320114919831) l(r:p7udm32459-p7udm32461);(-122.60533384133531,38.10338101670329) l(r:p7udm32459-p7udt7882lv);(-122.60514043072305,38.10329024001865);(-122.60523143315896,38.10333560924117) l(r:p7udm32460-p7ulv32613);(-122.60466251195592,38.103081341160006);(-122.60457078156843,38.10317115939531) l(r:p7udm32460-p7udt7882lv);(-122.60494139059097,38.10321633528642);(-122.60485596996139,38.10316310610162);(-122.60475351383506,38.10312671075122);(-122.60466251195592,38.103081341160006) l(r:p7udm32472-p7ulv32548);(-122.60647174538383,38.103880531118214);(-122.60633410315059,38.10402427308059) l(r:p7udm32474-p7ulv33082);(-122.60638069314578,38.1038441753799);(-122.60648416625169,38.10369130623989) l(r:p7udm32472-p7udm32474);(-122.60638069314578,38.1038441753799) l(r:p7udm32472-p7udt7886lv);(-122.60647174538383,38.103880531118214) l(r:p7udm32475-p7ulv33085);(-122.60666515921234,38.10397130561984);(-122.60674586882323,38.10380934735971) l(r:p7udm32473-p7ulv32939);(-122.6069496262689,38.10409843517372);(-122.6070760547978,38.10391860423463) l(r:p7udm32473-p7udm32475);(-122.60675621175052,38.10400766113856);(-122.60686420314804,38.10404520744325);(-122.60695571224406,38.10409081278658) l(r:p7udm32475-p7udt7886lv);(-122.60666515921234,38.10397130561984) l(r:p7udt7887lv-p7ulv32651);(-122.60555279578753,38.105085150040274);(-122.60576974990853,38.1050408147164) l(r:p7udt7887lv-p7ulv32662);(-122.60555279578753,38.105085150040274);(-122.6058606580603,38.1051042085016) l(r:p7udt7887lv-p7ulv32710);(-122.60555279578753,38.105085150040274);(-122.60554042071017,38.10526536223661) l(r:p7udm32477-p7ulv32630);(-122.60546159711497,38.10507583116482);(-122.60545096674423,38.10493159247424) l(r:p7udm32476-p7ulv32561);(-122.60537034998617,38.105075524743);(-122.60533530807851,38.10522862272675) l(r:p7udm32476-p7udm32477);(-122.60537034998617,38.105075524743) l(r:p7udm32477-p7udt7887lv);(-122.60546159711497,38.10507583116482) l(r:p7udt7902lv-p7ulv32983);(-122.60305656837367,38.10266134323917);(-122.60312470893902,38.10271564955052) l(r:p7udt7902lv-p7ulv33108);(-122.60305656837367,38.10266134323917);(-122.60297697363553,38.10261601087703) l(r:p7udm32533-p7ulv32724);(-122.60293022977598,38.10282314479938);(-122.60280496402974,38.10278667066936) l(r:p7udm32533-p7udt7902lv);(-122.60301065372963,38.102715264263836);(-122.60297614454707,38.10276922380784);(-122.60293022977598,38.10282314479938) l(r:p7udm32536-p7ulv33205);(-122.60339946452628,38.10252731074273);(-122.60328492228797,38.102617050982985) l(r:p7udm32536-p7udt7902lv);(-122.60310258045857,38.10258939714446);(-122.60315999794305,38.10251748955046);(-122.60320600983543,38.102445543412415);(-122.60329701001879,38.10249091412728);(-122.60339946452628,38.10252731074273) l(r:p7udt7908lv-p7ulv33128);(-122.60646367832447,38.10113163324601);(-122.60573524175965,38.10084979786617) l(r:p7udm32558-p7ulv32735);(-122.60656714738313,38.100978763940475);(-122.6060324553444,38.10072461702195) l(r:p7udm32558-p7ulv32740);(-122.60656714738313,38.100978763940475);(-122.6066238354297,38.10104204258756) l(r:p7udm32564-p7ulv35461);(-122.6066245602127,38.10090685460829);(-122.60643149287569,38.10075299231268) l(r:p7udm32558-p7udm32564);(-122.6066245602127,38.10090685460829) l(r:p7udm32558-p7udt7908lv);(-122.6065096861076,38.101059685774835);(-122.60656714738313,38.100978763940475) l(r:p7udm32562-p7ulv33067);(-122.60640626516012,38.10120354249503);(-122.60530266703535,38.10069512985254) l(r:p7udm32560-p7ulv32812);(-122.60634880352963,38.10128446424568);(-122.6059165153737,38.10107572329521) l(r:p7udm32561-p7ulv32944);(-122.6061879199618,38.101509242482244);(-122.60626741878089,38.101572597743484) l(r:p7udm32560-p7udm32561);(-122.60629139013228,38.10135637343542);(-122.60624538194723,38.10142832081531);(-122.6061879199618,38.101509242482244) l(r:p7udm32560-p7udm32562);(-122.60634880352963,38.10128446424568) l(r:p7udm32562-p7udt7908lv);(-122.60640626516012,38.10120354249503) l(r:p7udt7917lv-p7ulv32750);(-122.60277863974532,38.10343549532152);(-122.60331334005608,38.10368965697601) l(r:p7udt7917lv-p7ulv33072);(-122.60277863974532,38.10343549532152);(-122.60293802594623,38.10349011016045) l(r:p7udm32620-p7ulv32805);(-122.6028134421371,38.10332746069932);(-122.60313265313032,38.103355577347166) l(r:p7udm32620-p7udt7917lv);(-122.60279033814214,38.103381458734084);(-122.6028134421371,38.10332746069932) l(r:p7udt7928lv-p7ulv32766);(-122.60590281562038,38.10362626901938);(-122.60599493216472,38.103464349526234) l(r:p7udt7934lv-p7ulv32777);(-122.60681043212696,38.10240358370489);(-122.60673006239193,38.10250245434969) l(r:p7udm32681-p7ulv32782);(-122.60661697391043,38.10232182196966);(-122.60673146332968,38.102241090982055) l(r:p7udm32685-p7ulv33041);(-122.60642356447279,38.102231047387335);(-122.60637726575173,38.102357069983576) l(r:p7udm32686-p7ulv33115);(-122.60633251429886,38.102194691612816);(-122.60636735921446,38.10207764335329) l(r:p7udm32685-p7udm32686);(-122.60633251429886,38.102194691612816) l(r:p7udm32681-p7udm32685);(-122.6065259718603,38.10227645381459);(-122.60642356447279,38.102231047387335) l(r:p7udm32681-p7udt7934lv);(-122.60671938154533,38.102367228229);(-122.60661697391043,38.10232182196966) l(r:p7udm32682-p7ulv33005);(-122.60691283999671,38.10244898979628);(-122.60708286043706,38.10264783766058) l(r:p7udm32682-p7ulv33010);(-122.60691283999671,38.10244898979628);(-122.60679806064768,38.102583796135306) l(r:p7udm32682-p7ulv33035);(-122.60691283999671,38.10244898979628);(-122.60709585865413,38.10235046230504) l(r:p7udm32682-p7ulv33147);(-122.60691283999671,38.10244898979628);(-122.6071863786287,38.102485955323665) l(r:p7udm32682-p7udt7934lv);(-122.60691283999671,38.10244898979628) l(r:p7udm32736-p7ulv32794);(-122.60601558163671,38.1017429947735);(-122.60610638917342,38.101824413443076) l(r:p7udm32737-p7ulv32898);(-122.60590070494396,38.10189582530883);(-122.60576442128854,38.10178721583701) l(r:p7udm32736-p7udm32737);(-122.6059581191493,38.101823916320996);(-122.60590070494396,38.10189582530883) l(r:p7udm32736-p7udt7943lv);(-122.60601558163671,38.1017429947735) l(r:p7udm32738-p7ulv33207);(-122.60654124379178,38.10155548957369);(-122.60661011075862,38.10147460591699) l(r:p7udm32738-p7udt7943lv);(-122.60613045784906,38.10159016411927);(-122.60617797339562,38.10150483219554);(-122.6062354353885,38.10142391053345);(-122.60633638277461,38.10147368918753);(-122.60643883739709,38.10151008315963);(-122.60654124379178,38.10155548957369) l(r:p7udt7948lv-p7ulv32818);(-122.60638538335843,38.10296996016877);(-122.6062936552088,38.10305977976311) l(r:p7udm32759-p7ulv32914);(-122.60648784008855,38.103006354100444);(-122.60637286566723,38.103177210115774) l(r:p7udm32757-p7ulv32816);(-122.60657884301624,38.10305172228422);(-122.60663490448174,38.1032321637837) l(r:p7udm32757-p7ulv32926);(-122.60657884301624,38.10305172228422);(-122.60672779186808,38.102926043231) l(r:p7udm32757-p7ulv33145);(-122.60657884301624,38.10305172228422);(-122.60679511428933,38.10313356041902) l(r:p7udm32757-p7udm32759);(-122.60657884301624,38.10305172228422) l(r:p7udm32759-p7udt7948lv);(-122.60648784008855,38.103006354100444) l(r:p7udm32763-p7ulv33099);(-122.60610097003634,38.10283381672521);(-122.60619260138567,38.1027620223351) l(r:p7udm32762-p7ulv33032);(-122.60590751149043,38.10275205382599);(-122.60594235735546,38.10263500570878) l(r:p7udm32761-p7ulv32929);(-122.60580510382914,38.102706646861876);(-122.60575880374063,38.10283266919674) l(r:p7udm32761-p7udm32762);(-122.60580510382914,38.102706646861876) l(r:p7udm32762-p7udm32763);(-122.6059985137413,38.102797422457506);(-122.60590751149043,38.10275205382599) l(r:p7udm32763-p7udt7948lv);(-122.60629438065266,38.10292459183573);(-122.60619197250908,38.10287918520746);(-122.60610097003634,38.10283381672521) l(r:p7udt7950lv-p7ulv32820);(-122.60251455239131,38.10375905905405);(-122.60243383352139,38.103921014357915) l(r:p7udt7950lv-p7ulv32860);(-122.60251455239131,38.10375905905405);(-122.60271848817689,38.10401210402345) l(r:p7udt7950lv-p7ulv33130);(-122.60251455239131,38.10375905905405);(-122.60263894100522,38.10395775891274) l(r:p7udt7950lv-p7ulv33168);(-122.60251455239131,38.10375905905405);(-122.60225192853682,38.10381224648377) l(r:p7udm32771-p7ulv32828);(-122.60261788695459,38.103633230930775);(-122.60283396029652,38.103751126427426) l(r:p7udm32771-p7ulv33089);(-122.60261788695459,38.103633230930775);(-122.6024928641414,38.10355169384871) l(r:p7udm32773-p7ulv33030);(-122.60267530573799,38.103561323593496);(-122.60255013651123,38.1035068241428) l(r:p7udm32771-p7udm32773);(-122.60267530573799,38.103561323593496) l(r:p7udm32771-p7udt7950lv);(-122.60257192255375,38.103696164293304);(-122.60261788695459,38.103633230930775) l(r:p7udt7952lv-p7ulv32823);(-122.60521128921229,38.10283984360637);(-122.60504064271548,38.1027581559244) l(r:p7udm32781-p7ulv33126);(-122.60516527902877,38.10291179053425);(-122.60526763808545,38.10296621058126) l(r:p7udm32781-p7udt7952lv);(-122.60516527902877,38.10291179053425) l(r:p7udm32782-p7ulv33169);(-122.60526875332572,38.102758922446974);(-122.60539382637997,38.10283144406818) l(r:p7udm32782-p7udt7952lv);(-122.60526875332572,38.102758922446974) l(r:p7udt7960lv-p7ulv33026);(-122.60563644312941,38.10225544636485);(-122.6054772514092,38.102164785063074) l(r:p7udm32807-p7ulv32844);(-122.60557902826875,38.102327355186645);(-122.6056698844742,38.10239976165808) l(r:p7udm32807-p7udt7960lv);(-122.60557902826875,38.102327355186645) l(r:p7udm32809-p7ulv33048);(-122.60568245243526,38.10218349923963);(-122.60578471401982,38.10225594389757) l(r:p7udm32809-p7udt7960lv);(-122.60568245243526,38.10218349923963) l(r:p7udt7977lv-p7ulv32932);(-122.60281417400053,38.10319227283493);(-122.60296254427857,38.1031747488901) l(r:p7udm32852-p7ulv32912);(-122.60280315874219,38.103120134085195);(-122.60322521408595,38.10311254732592) l(r:p7udm32852-p7ulv33058);(-122.60280315874219,38.103120134085195);(-122.60267755090302,38.10314674748965) l(r:p7udm32852-p7udt7977lv);(-122.60280315874219,38.103120134085195) l(r:p7udt8001lv-p7ulv33119);(-122.60359287095629,38.10261809028192);(-122.60381113293168,38.10233042030587) l(r:p7udt8004lv-p7ulv33155);(-122.60320600983543,38.102445543412415);(-122.60368903186784,38.101708132847314) l(r:p7udt8361lv-p7ulv35239);(-122.6604706578121,38.05631750884141);(-122.660401059715,38.05657867826829) l(r:p7udt8370lv-p7ulv35365);(-122.65016085585656,38.06466013796406);(-122.65056125896581,38.06436390791617) l(r:p7udt8398lv-p7ulv35574);(-122.6218910115618,38.09532403239108);(-122.62125199323022,38.095394076151365) l(r:p7udt4083-p7udt4119);(-122.61626175997999,38.10534592913929);(-122.61637577233073,38.10535531412111);(-122.6164772602439,38.105337675168386);(-122.61659253247555,38.105347008928184) l(r:p7udt4083-p7udt4112);(-122.61553069413435,38.10576718042187);(-122.61563342561406,38.105776539607746);(-122.61574627366608,38.10576784089085);(-122.6157353387685,38.10571149322499);(-122.61574712242276,38.105639430250115);(-122.61575890605329,38.10556736727303);(-122.6157820016975,38.10549645917051);(-122.61582938824904,38.10543017162818);(-122.61591140814096,38.10536544955358);(-122.61597432630685,38.105354177781216);(-122.61604317120725,38.10534533768439);(-122.61615905929537,38.105354606378484) l(r:p7udt4085-p7udt4101);(-122.61300346916336,38.10338893102176);(-122.61310602486334,38.10340729413062);(-122.61320858061536,38.103425657150176);(-122.61330312664451,38.10343535908099);(-122.61330772337506,38.1033747906423);(-122.6133304181311,38.10330409212171);(-122.61337571646047,38.103236511440464) l(r:p7udt4101-p7udt4895);(-122.61324087819094,38.1042550756455);(-122.61333345951995,38.104237050213676);(-122.61329164623108,38.104176719246475);(-122.61326888352806,38.10411286690553);(-122.61324635533825,38.10404040115318);(-122.61321289874057,38.10396916816889);(-122.61322392753418,38.10390295106935);(-122.61321285441659,38.10383982578294);(-122.6132019110159,38.10376880611751);(-122.61322537240139,38.10369347803155);(-122.61323741743934,38.103610679101315);(-122.61326065636084,38.10352964136791);(-122.6132494428325,38.103456941634654);(-122.61319510226515,38.10346943971299);(-122.61309342172424,38.103451242996826);(-122.61299086596618,38.103432879876785);(-122.61289335156414,38.10339693713014) l(r:p7udt4838-p7udt4901);(-122.60764759833341,38.103652369164315);(-122.60763580047602,38.10371573199851);(-122.60761275233745,38.10378632526412);(-122.60760096101343,38.10385838740419);(-122.60757735387102,38.103914116878364);(-122.6075424586017,38.103977789368045);(-122.60749748761057,38.10402816501884);(-122.60746293286925,38.10409113841126);(-122.60741702054463,38.10414506115746);(-122.60737105991959,38.104207996412626);(-122.6073448573126,38.10427994076804);(-122.60742129640832,38.104299242650434);(-122.60752328795161,38.10433545941659);(-122.60761480893092,38.104371990539434);(-122.60771765738184,38.10441758007874);(-122.60780312973864,38.104461794663244) l(r:p7udt4853-p7udt7908);(-122.60641591120442,38.10120835152307);(-122.60635875007642,38.10128887451797);(-122.60630103618897,38.101361182472836);(-122.60634729797209,38.10147107524987);(-122.60644351371911,38.10150186291935);(-122.60654682496462,38.101547629750996);(-122.60663229328009,38.101591845186675);(-122.60674028106816,38.1016293916009);(-122.60683089342625,38.10166556291045);(-122.60689773771004,38.10168332294516);(-122.60695529615789,38.10170172299108);(-122.60705608856996,38.10171965548059);(-122.60711064240508,38.10171962328267);(-122.6072474586234,38.10172909335926) l(r:p7udt4922-p7udt7908);(-122.6072401539988,38.100962990012775);(-122.60715027873145,38.100971632666024);(-122.60706746272591,38.10096232208118);(-122.60698767422316,38.100953042549);(-122.6069210000174,38.10092587202814);(-122.60682990291414,38.10089852917079);(-122.60675021111864,38.1008712244133);(-122.60662841246678,38.100915337574975);(-122.60657679340868,38.1009835729554);(-122.60651963263386,38.101064096033575);(-122.60646367832447,38.10113163324601) l(r:p7udt4922-p7udt6046);(-122.60733281105375,38.10096323008638) l(r:p7udt4871-p7udt6046);(-122.60805578967883,38.101136177848765);(-122.6079524780576,38.10109041233867);(-122.60785560425157,38.10104615979636);(-122.60764646807118,38.10099077268055);(-122.6075344065915,38.100972810860526);(-122.60742045047276,38.10095440480311) l(r:p7udt4871-p7udt4876);(-122.60877936061301,38.10162612099008);(-122.6087656521564,38.101519223875336);(-122.608711401253,38.10146936641225);(-122.60864395148737,38.101415668774656);(-122.60856578505327,38.10137130593513);(-122.60849280851671,38.10132767810508);(-122.60840180652167,38.1012823113955);(-122.60831646144132,38.10125380137528);(-122.60823681682028,38.10121748508919);(-122.60816292080555,38.101164350015466) l(r:p7udt4092-p7udt4894);(-122.61091344344227,38.10279042238988);(-122.61086721580975,38.10286274104048);(-122.61081098326324,38.10293963843021);(-122.61074226296662,38.10299348694671);(-122.61068085533003,38.10304527070134);(-122.61060486990434,38.10309217132301) l(r:p7udt4092-p7udt4887);(-122.61091568244646,38.102123435230816);(-122.61096005868254,38.102158667615484);(-122.61102820467417,38.10221296937734);(-122.61109970534108,38.102264642445775);(-122.61113320521709,38.10239994370757);(-122.61109738111999,38.10247595728487);(-122.61105155219299,38.10255654962967);(-122.61100532484548,38.10262886833901);(-122.61094909262454,38.10270576579964) l(r:p7udt4887-p7udt4929);(-122.61038086968497,38.10168808851086);(-122.61045236938429,38.1017397619726);(-122.61052051461121,38.10179406402863);(-122.61058870777238,38.10183935351029);(-122.61067571744441,38.10188687504485);(-122.61072257712071,38.10193330652435);(-122.6107785277728,38.10197781258477);(-122.6108252803598,38.10203341719309);(-122.61087250429256,38.1020926490922) l(r:p7udt4839-p7udt4929);(-122.60950764160177,38.10137611847475);(-122.60958747885327,38.101376383764794);(-122.60966726816008,38.10138566153524);(-122.60974866996224,38.10139503518904);(-122.60984096900454,38.10141354559617);(-122.60992930311919,38.10144060785177);(-122.6100089967331,38.10146791046031);(-122.61008869040671,38.101495213014985);(-122.61015688302301,38.10154050274663);(-122.61023241403393,38.101578893037555);(-122.61030462600282,38.10164013245225) l(r:p7udt4839-p7udt4875);(-122.60942498874654,38.10138512556192) l(r:p7udt4875-p7udt4876);(-122.6087694818306,38.101621616550865);(-122.60892883944624,38.10152977829916);(-122.60902198970572,38.10148402580874);(-122.60910352656605,38.101456617778645);(-122.60916938095794,38.10142999503721);(-122.60923496385054,38.10140415306076);(-122.60933500279421,38.10140265230872) l(r:p7udt4876-p7udt4877);(-122.60840969291776,38.10212587042388);(-122.60846786144178,38.10205284640514);(-122.6085133343303,38.10198164249428);(-122.60857102716967,38.10190037410917);(-122.60862873925853,38.10182806503612);(-122.60868901146765,38.10175012571254);(-122.608743281538,38.10169356126228) l(r:p7udt4877-p7udt4913);(-122.60820351533283,38.102430498353456);(-122.60825921667949,38.10235434655777);(-122.6083069826352,38.102277627539905);(-122.60837404109971,38.10221052623765) l(r:p7udt4892-p7udt4913);(-122.60792705250961,38.10280021287263);(-122.60799486840081,38.10273199800555);(-122.6080522812241,38.102660087997066);(-122.60809833656698,38.10257912738825);(-122.60815574916838,38.10250721732613) l(r:p7udt4892-p7udt4901);(-122.6076372752347,38.103495887905545);(-122.6076376339404,38.10341577017687);(-122.6076611610305,38.103332779396325);(-122.60767279342645,38.10325266386425);(-122.60775337675008,38.1031007124402);(-122.6077892046136,38.103024699911046);(-122.60783521230476,38.10295275194343);(-122.60789139980146,38.1028848685254) l(r:p7udt4901-p7udt7861);(-122.60765890995228,38.103653524821226);(-122.60764708470964,38.10371704426535);(-122.60762406397635,38.10378748092446);(-122.60761227266326,38.10385954306571);(-122.60758815903698,38.103917003026815);(-122.60755292312477,38.103981374591605);(-122.60750766764392,38.104032229621026);(-122.60747339740449,38.1040947236419);(-122.60742648395072,38.1041500921156);(-122.60738093896201,38.10421250096886);(-122.60735473636302,38.104284445326456);(-122.60728901748563,38.10433837142967);(-122.60724330939021,38.10440987921606);(-122.60719730019436,38.104481826925905);(-122.60715129090777,38.10455377461636);(-122.60708374388359,38.104612606983714) l(r:p7udt7847-p7udt7858);(-122.6053356425243,38.10421825133999);(-122.60529477576972,38.10428215438239);(-122.60523735897216,38.1043540630019);(-122.60519139635228,38.10441699739127);(-122.60513397934712,38.104488905957474);(-122.60509814801127,38.10456491763905);(-122.60504019722171,38.10463757048166);(-122.604982435116,38.10470092692624);(-122.60498424815867,38.104758783315894);(-122.60498390846992,38.10482187098604) l(r:p7udt7850-p7udt7887);(-122.60555279578753,38.105085150040274) l(r:p7udt7850-p7udt7858);(-122.60551810579878,38.104284583134095);(-122.60560763340348,38.10432020715362);(-122.6056878368256,38.10435676307101);(-122.60577922614043,38.104402315623275);(-122.60585278685616,38.10444625589683);(-122.6059071046388,38.104485871348025);(-122.60593214331409,38.1045366489462) l(r:p7udt7858-p7udt7862);(-122.60571826537222,38.10372926102756);(-122.60566061687871,38.10380147425465);(-122.60560496018284,38.10386861225255);(-122.60555684551824,38.10393677808702);(-122.60551176184035,38.10400752032466);(-122.60545381190373,38.10408017338282);(-122.60540808219125,38.10414280347306);(-122.60536007943378,38.104219825653466);(-122.6054318388663,38.104246563966136) l(r:p7udt7854-p7udt7882);(-122.6049358091183,38.103224195029014);(-122.60491114117038,38.103284487187075);(-122.60485344319092,38.10336575372783);(-122.60480766538019,38.10343735493759);(-122.60474971520469,38.103510007654194);(-122.60468265224537,38.103577106848505);(-122.60464682089679,38.10365311840413);(-122.60459851664442,38.10373058021325);(-122.60441423239581,38.10365613020135);(-122.60424923729072,38.10357564788881) l(r:p7udt7917-p7udt7950);(-122.60272126996503,38.103498390188115);(-122.60268518440188,38.10356582854295);(-122.60262753306588,38.103638040268194);(-122.60258180122929,38.10370066925142);(-122.60251455239131,38.10375905905405) l(r:p7udt7917-p7udt7977);(-122.60281383246486,38.10325536050538);(-122.60282484775283,38.10332749925263);(-122.60280114303762,38.10338434532153);(-122.60277863974532,38.10343549532152) l(r:p7udt7902-p7udt7977);(-122.60302011664689,38.10272029557543);(-122.6029863241524,38.102773288800286);(-122.6029396927015,38.10282817611741);(-122.60287276304244,38.10290406479973);(-122.6028153449718,38.10297597224544);(-122.6027920947117,38.10305700785824);(-122.6028144565938,38.103118897688404);(-122.60281417400053,38.10319227283493) l(r:p7udt7902-p7udt8004);(-122.60317017752391,38.10252155452701);(-122.60311222646534,38.10259420644239);(-122.60305656837367,38.10266134323917) l(r:p7udt8001-p7udt8004);(-122.60349046493157,38.10257268130835);(-122.6033933783144,38.10253493294639);(-122.60329233328132,38.10249913423865);(-122.60319992361039,38.10245316560608) l(r:p7udt7873-p7udt8001);(-122.60397420100948,38.10278948305348);(-122.60388358869594,38.102753309548014);(-122.60378074498104,38.102707716665726);(-122.60367833871398,38.102662307855255);(-122.60359287095629,38.10261809028192) l(r:p7udt7873-p7udt7882);(-122.60493022764442,38.103232054771304);(-122.60484988384682,38.10317072838042);(-122.60474883721912,38.10313493092036);(-122.6046564258282,38.103088963428824);(-122.6045601046169,38.10303593311506);(-122.60446905438366,38.102999575901116);(-122.6043610657657,38.10296202740359);(-122.60426955970208,38.10291642004739);(-122.60416851371107,38.102880622090225);(-122.60408218917313,38.102827031905164) l(r:p7udt7931-p7udt7948);(-122.60542964247243,38.1025341455472);(-122.60552064415543,38.10257951454741);(-122.60561164595136,38.1026248834774);(-122.60571405337772,38.10267029060949);(-122.60581024784406,38.102698602923);(-122.60591309282304,38.10274419403587);(-122.60600459970573,38.102789800117904);(-122.60610564648175,38.1028255965009);(-122.60619805846036,38.10287156285788);(-122.60629996195729,38.102916732027296);(-122.60638538335843,38.10296996016877) l(r:p7udt7934-p7udt7960);(-122.60567227276003,38.102179434479986);(-122.60573986708117,38.10211159036436);(-122.60578582777083,38.102048655725255);(-122.60584324220918,38.10197674679669);(-122.60593424346241,38.10202211547848);(-122.60603669864577,38.10205850980092);(-122.60612770012091,38.10210387833347);(-122.60623010714623,38.102149285017596);(-122.60633809554321,38.10218683180126);(-122.60642870838635,38.1022230034202);(-122.60653155309029,38.10226859399388);(-122.60662305977102,38.102314199596826);(-122.60672496276166,38.10235936839915);(-122.60681043212696,38.10240358370489) l(r:p7udt7943-p7udt7960);(-122.60566209308595,38.10217536971947);(-122.60573022096344,38.102106781281165);(-122.60577594911774,38.10204415103538);(-122.60583359610224,38.10197193772192);(-122.60589075834305,38.10189141499791);(-122.60594847305478,38.101819107255594);(-122.60600563504946,38.10173858447228);(-122.60607304399682,38.10166207319611) l(r:p7udt7931-p7udt7960);(-122.60553301877039,38.10239930226854);(-122.60556884857945,38.10232329041805);(-122.60563644312941,38.10225544636485) l(r:p7udt4836-p7udt4869);(-122.60890651873667,38.10310953829802);(-122.60897411094768,38.10304169232098);(-122.60903152306035,38.10296978183391);(-122.60906734953788,38.10289376890578);(-122.60912504249201,38.102812500261855);(-122.6091708157087,38.102740897337405);(-122.609228760911,38.102668242403695);(-122.60928617247973,38.1025963317847);(-122.60933164491826,38.102525127561265);(-122.60939923619516,38.102457281327865) l(r:p7udt4835-p7udt4869);(-122.60895696583097,38.10365428361183);(-122.60885441085622,38.10363591688616);(-122.60875696286476,38.103532955800894);(-122.60878945912333,38.10344664877515);(-122.60880129726685,38.103365573973434);(-122.60881349132012,38.10330004975913);(-122.60883673931608,38.10324567692266);(-122.60887069198562,38.103185551172835) l(r:p7udt4842-p7udt4879);(-122.6118255909066,38.105161598111806);(-122.61180187015944,38.105208448740136);(-122.61177910601263,38.10527059109666);(-122.61173250253044,38.10535273572844);(-122.61168644941799,38.10543369773575);(-122.61164135093262,38.10552001516764);(-122.61160570115175,38.10560467192429);(-122.61155964773921,38.10568563387682);(-122.61152540226966,38.10576582451262);(-122.61147906782526,38.10585629535539);(-122.61142244160649,38.10593387506175) l(r:p7udt4845-p7udt4857);(-122.61039753130233,38.10557192878644);(-122.61035175857575,38.10565093606748);(-122.61032851575374,38.10573197317529);(-122.61030467242128,38.10581585834759);(-122.61028174795628,38.10589600156395);(-122.61024678047569,38.1059778946989);(-122.61022385589538,38.10605803790112);(-122.61018879357837,38.106131232518756);(-122.61018905772792,38.106218195696265);(-122.61018862671702,38.106299308445266);(-122.61017678960388,38.10638038335202) l(r:p7udt4857-p7udt4934);(-122.61049083160461,38.10523681353171);(-122.61047871627365,38.10532866778388);(-122.61044369817886,38.105410748411565);(-122.61040965002563,38.10548889965278) l(r:p7udt4895-p7udt4926);(-122.61323691784332,38.104246623764816);(-122.61333073929644,38.10422829766803);(-122.61343897416279,38.104219102910704);(-122.61354176850735,38.10419240297171);(-122.61365273657668,38.1041570972262);(-122.61375628734493,38.10413924679677);(-122.61386150941375,38.104121352629555) l(r:p7udt4847-p7udt4910);(-122.6123673725986,38.106864956179436);(-122.61228874806254,38.10689135287813);(-122.61222077710373,38.10691794385809);(-122.61214130249039,38.10695349629742);(-122.61204930300242,38.10698947930646);(-122.61195876153131,38.10703382530646);(-122.61186727347001,38.10707858655821);(-122.61177578529743,38.10712334773779);(-122.61166688595951,38.107160408560695);(-122.611609520821,38.107223307752676);(-122.61154882875087,38.107283556162514);(-122.61148010489528,38.107337405051666);(-122.61142348748085,38.10739069078726);(-122.61125459028726,38.107474489177775) l(r:p7udt4847-p7udt4860);(-122.61288825061725,38.10672715632343);(-122.61286545443029,38.10676282344773);(-122.61284279625922,38.10680712885536);(-122.61276988366747,38.10684709111229);(-122.61265057450697,38.10685717949078);(-122.61257749293416,38.10683849539954);(-122.61250915109702,38.10682024466691);(-122.61244422334227,38.10683851840194) l(r:p7udt4098-p7udt4860);(-122.61355762429432,38.10542966957505);(-122.61352258503709,38.10549371744659);(-122.6134880347512,38.10555669261935);(-122.6134654544445,38.10563613739037);(-122.61344219239082,38.105708393141434);(-122.61341902311904,38.10578920033749);(-122.61338470702083,38.10585923380818);(-122.61336146747604,38.10594027153075);(-122.61334932935264,38.10601451898915);(-122.61332648930045,38.10608435943163) l(r:p7udt4097-p7udt4903);(-122.61620939793752,38.10445350179432);(-122.61632340889518,38.10446288682095);(-122.61642601407074,38.10447223450415);(-122.61654007219384,38.10447260678371);(-122.6166426774122,38.10448195427793) l(r:p7udt4095-p7udt4097);(-122.61527317470275,38.104682814926754);(-122.61528479901939,38.10460269861605);(-122.61530823904624,38.10451187620635);(-122.61531991439229,38.10443157246371);(-122.61544535050538,38.10442396564953);(-122.61556049599874,38.104433399857534);(-122.61566196634432,38.10444269976653);(-122.61577597721063,38.10445208532389);(-122.61587867667333,38.104443408411704);(-122.6159927347443,38.104443781222315);(-122.61609529268712,38.104462141730664) l(r:p7udt3858-p7udt3870);(-122.61991141885018,38.10296047069748);(-122.62000124903123,38.10294294982346);(-122.62010428490166,38.102925203126226);(-122.62030739642151,38.10382691436197) l(r:p7udt3867-p7udt3884);(-122.62539538447778,38.10165310522911);(-122.62530425059029,38.101661812881524);(-122.62520257028419,38.101679368973);(-122.62511022086554,38.1016882320924);(-122.62505210128673,38.101625027366325);(-122.62499535076242,38.10157077008054);(-122.62493860032193,38.101516512767304);(-122.62488184996526,38.1014622554266);(-122.62479065321051,38.10145295155856);(-122.62469945647948,38.10144364761982);(-122.62460821366147,38.10144335616069);(-122.62452842231731,38.10143408852564);(-122.624414461081,38.10141569892456);(-122.62431190524734,38.101397345679274);(-122.62419794412406,38.101378955868654);(-122.62409548074444,38.1013425773351);(-122.62399301746701,38.10130619871249);(-122.62389060049033,38.10126080745106);(-122.62387956476616,38.10118867054545);(-122.62386857526408,38.10110752108804);(-122.62388070189733,38.10103327242074);(-122.62391488938836,38.100972478860115) l(r:p7udt2266-p7udt2946);(-122.62384106545987,38.09757445439186);(-122.6238646140879,38.097430326517184);(-122.62389961351704,38.097277222580644);(-122.6239232081176,38.097124082129646);(-122.62398175546781,38.09682685025109);(-122.62401675435447,38.09667374626114);(-122.62406315767886,38.09652067874234);(-122.62407539328704,38.0963584891943);(-122.62409903337418,38.0961963361228);(-122.62412267335385,38.096034183041525);(-122.62419188501633,38.09588118840866) l(r:p7udt2261-p7udt2266);(-122.62537191870288,38.09930077104033);(-122.62518966856184,38.09925512635213);(-122.62498474682093,38.09918237092504);(-122.62479123047844,38.09910965155952);(-122.62458635565281,38.099027882886006);(-122.62442705501225,38.09895527222883);(-122.62427911349502,38.09889171037755);(-122.62411981345649,38.0988190993053);(-122.62404062531525,38.09869266815015);(-122.62395007872814,38.09855718788851);(-122.62389356234469,38.09845786728843);(-122.62383709231361,38.098349534106084);(-122.62383792391499,38.098187308127905);(-122.62383875550967,38.0980250821452);(-122.62383958709766,38.09786285615801);(-122.6238403262814,38.09771865527673) l(r:p7udt2261-p7udt3892);(-122.626452138158,38.09994411173975);(-122.62628147446098,38.09986245477641);(-122.62611081114515,38.09978079756601);(-122.62594014821045,38.09969914010859);(-122.62580388470973,38.09958154114977);(-122.62567902669144,38.09946397836469);(-122.62555416907277,38.099346415446675) l(r:p7udt2264-p7udt3892);(-122.62751135049248,38.100235880591114);(-122.62741874062691,38.100226504686574);(-122.62732891357744,38.10022628942937);(-122.62723630374849,38.1002169133812);(-122.62714647671244,38.10021669798459);(-122.62705386692005,38.10020732179282);(-122.62696267143433,38.10019801961519);(-122.62678755948743,38.10011513449008);(-122.62662280223616,38.10002576845608) l(r:p7udt2264-p7udt3859);(-122.62898024762785,38.10070918818035);(-122.62888914242953,38.1006818623825);(-122.62879799170481,38.10066354906999);(-122.62870688663206,38.100636223131254);(-122.6286043764,38.10060886107438);(-122.62851327146834,38.100581534985984);(-122.62840711143886,38.10056271141615);(-122.62831560921038,38.100535272574184);(-122.62821349669619,38.100508022778406);(-122.6281308722626,38.10046148156348);(-122.62803969140069,38.10040699299203);(-122.6279595517925,38.10035229587612);(-122.62786916197028,38.1002983006601);(-122.62778902260821,38.100243603428154);(-122.62770519262756,38.100245507605656);(-122.62760263758008,38.10022715723304) l(r:p7udt3859-p7udt3873);(-122.62826630383675,38.10173446999214);(-122.6283461873045,38.101725709985296);(-122.62842763853745,38.101725876997605);(-122.6285303327771,38.10171718899581);(-122.62862157597644,38.10171747741118);(-122.62880429035742,38.10167299125846);(-122.62889567025782,38.101646241797816);(-122.62897564470686,38.101619456253154);(-122.62906707004228,38.101583694103745);(-122.62916976401168,38.101575005541484);(-122.62927245795751,38.101566316889325);(-122.62937515187973,38.10155762814729);(-122.62947784577837,38.10154893931535);(-122.62958053965342,38.10154025039354);(-122.62962647960913,38.10147730631463);(-122.62968382483993,38.10141439816135);(-122.62972976462767,38.10135145403984);(-122.62977570433625,38.10128850989929);(-122.62974185217041,38.10121630164236);(-122.62970804555444,38.101135080819176);(-122.6296627882253,38.101062836596206);(-122.62961734900615,38.101026642579654);(-122.62958326962121,38.10099949705573);(-122.62949230036477,38.100945134055706);(-122.6294127365159,38.10089080695605);(-122.62933317278494,38.1008364798026);(-122.62925365471092,38.10077314003935);(-122.62916250377971,38.100754827008835);(-122.62907139845582,38.10072750135186) l(r:p7udt3681-p7udt7536)_s0 l(r:p7udt3681-p7udt7536)_s1 l(r:p7udt3681-p7udt7536)_s2 l(r:p7udt3210-p7udt7536)_s0 l(r:p7udt3210-p7udt7536)_s1 l(r:p7udt3210-p7udt3215)_s0 l(r:p7udt3210-p7udt3215)_s1 l(r:p7udt3165-p7udt3215);(-122.64555186613639,38.10552806255352);(-122.64536902138212,38.10559961241666);(-122.6451861762729,38.10567116199263);(-122.64500328719647,38.10575172384559);(-122.6450708514034,38.105932181869925);(-122.64528747969624,38.105950861425974);(-122.64550410810251,38.10596954058311);(-122.6457207366222,38.10598821934135);(-122.64593736525532,38.10600689770067);(-122.646096876586,38.1060434290317);(-122.64626783763498,38.10607098192042);(-122.64645011804775,38.10611659401179);(-122.6466438048029,38.10616224012322);(-122.64679191071048,38.10619873621097);(-122.64695137951853,38.10624427895013);(-122.6471108918802,38.1062808089081);(-122.64731680887597,38.10615524942313);(-122.6474313897861,38.10604744093861);(-122.64752333141044,38.10590351364355);(-122.64762672201465,38.105750607910984);(-122.64771870615877,38.1055976678851) l(r:p7udt3155-p7udt3165);(-122.64569361328317,38.104519067975275);(-122.64579604843306,38.10456444029732);(-122.64589852721062,38.10460079996358);(-122.64600078865038,38.10468222237466);(-122.6461481087882,38.104880945466725);(-122.6461931239371,38.10500725878425);(-122.64619225463487,38.105187510110255);(-122.64610044174607,38.10530439867527);(-122.64591759807931,38.10537594940018);(-122.64573471053565,38.10545651240323) l(r:p7udt3155-p7udt3169);(-122.64405125751709,38.10449607883755);(-122.64422269494082,38.10442449646963);(-122.64438268251551,38.10436189189559);(-122.64449726489313,38.104254086246854);(-122.64461180327582,38.10415529304894);(-122.64473774713386,38.10405653422174) l(r:p7udt3169-p7udt3176);(-122.6430564833301,38.10459233794938);(-122.6432181482842,38.104592691800846);(-122.6433665121601,38.104575116806444);(-122.64352623803491,38.10456658879234);(-122.64369745727656,38.104540070018416);(-122.64388003850202,38.104522598128355) l(r:p7udt3176-p7udt3207);(-122.64156319716712,38.104812972449835);(-122.64174586757599,38.10477747875803);(-122.64191717591292,38.10473293745974);(-122.64209984595531,38.104697443215315);(-122.64229383381138,38.104680008535304);(-122.64247641570232,38.10466253883011);(-122.64267035948433,38.104654116090934);(-122.64286439097091,38.1046276679039) l(r:p7udt3152-p7udt3207);(-122.64138048252774,38.104857478418495) l(r:p7udt7175-p7udt7193);(-122.63896824131253,38.103669428079954);(-122.63882027757741,38.1036058844759);(-122.63866095279108,38.10353329305094);(-122.63851298959885,38.10346974906118);(-122.63838774917146,38.10342430021205);(-122.63825114766746,38.10336980355516);(-122.63819451932584,38.10328851492052);(-122.63809182396128,38.10329721136097);(-122.63802334554981,38.103306013125);(-122.63789810570863,38.103260563755626);(-122.63777282152697,38.103224126814084);(-122.63755611425846,38.10322345856633);(-122.63733940699713,38.10322278991892);(-122.63716854517563,38.103177198955485);(-122.63699768356827,38.10313160774432);(-122.63682682217501,38.10308601628541);(-122.63666745587578,38.103022434726746);(-122.63650808985406,38.102958852952625);(-122.63641724699303,38.102877457613424);(-122.63632640433342,38.102796062203865);(-122.636258596413,38.102669674539285);(-122.63619074403502,38.10255229939436);(-122.63612289187294,38.10243492420902);(-122.63602068928762,38.102344480680436);(-122.63591848695411,38.102254037062856);(-122.63571350132284,38.10219031284718);(-122.63550847127725,38.10213560083533);(-122.6354054189434,38.10221639541742);(-122.63530232158416,38.102306202466714);(-122.6351539604514,38.10232376729897);(-122.63501695992068,38.10235037993075);(-122.63490281516059,38.10236805072173);(-122.63480007585817,38.10238575685037);(-122.63464053333452,38.10235822278831);(-122.6344809909323,38.102330688510115);(-122.6343214037325,38.102312166574606);(-122.63414973701673,38.10242879729065);(-122.63397811471722,38.1025364151927);(-122.63379504138014,38.10265300985179) l(r:p7udt7147-p7udt7176);(-122.63246064027923,38.102129436405114);(-122.63253141759989,38.10217139190319);(-122.63259966993273,38.102207656122495);(-122.63269059794968,38.102271029282164);(-122.632781571232,38.102325389814354);(-122.632861139141,38.10237971464033) l(r:p7udt3880-p7udt3901);(-122.62359397488349,38.1022078695683);(-122.62417799839302,38.103046240888986) l(r:p7udt3882-p7udt3893);(-122.61844221159296,38.104845154712145);(-122.6184761466588,38.10492669362477);(-122.61849910711912,38.10501073245384);(-122.61853290304869,38.105091956392386);(-122.61857753447973,38.105170365431356);(-122.61860049513025,38.105254404238146) l(r:p7udt3856-p7udt3893);(-122.6184919307671,38.1041804236753);(-122.61849163809778,38.10425364214621);(-122.61849135689714,38.10430771738332);(-122.61847948608182,38.10435450983231);(-122.61846784593607,38.10439953549442);(-122.61844503065088,38.10444275733292);(-122.61843334359527,38.104496795529904);(-122.61842170339791,38.10454182118611);(-122.61842123833203,38.1045886506662);(-122.6184096190266,38.10464240322257);(-122.61839792666439,38.10470524699758);(-122.61839767289626,38.10477607311416) l(r:p7udt3856-p7udt3902);(-122.6182777835551,38.103284877827996);(-122.61830212045356,38.10335881498665);(-122.61832451201512,38.103449202245024);(-122.61832430233655,38.10353208333417);(-122.61834669172367,38.103613270293025);(-122.61838022541997,38.10369253831382);(-122.6184026149165,38.103773725260375);(-122.61841376136222,38.103864946713784);(-122.61843594145151,38.10394507464071);(-122.61845833108448,38.10402626157389);(-122.61846957644536,38.10410936744394) l(r:p7udt4840-p7udt4861);(-122.61742974833733,38.10442867584862);(-122.6174520900034,38.104518875499785);(-122.6174855823126,38.10460828920238);(-122.61749703276567,38.10469203047338);(-122.617519374592,38.104782230108576);(-122.61754171647236,38.104872429738435);(-122.61757525201568,38.10496089826091);(-122.61759759185992,38.105041897587704);(-122.61761998304839,38.10513228495255);(-122.61764253743893,38.10522425278385) l(r:p7udt4861-p7udt4885);(-122.61714242758718,38.1033925854753);(-122.61706810572193,38.10348777141017);(-122.61709204070421,38.10356216982163);(-122.61712552538994,38.10364249823006);(-122.61713707821423,38.103726500167745);(-122.61715946634313,38.10380768734345);(-122.61719326024334,38.10388891167313);(-122.61724848027016,38.1039477088568);(-122.61729377410371,38.10401094523192);(-122.61733906801504,38.10407418158932);(-122.61736267665813,38.10416708940158);(-122.61738501816468,38.10425728906866);(-122.61739621320766,38.104340207278824) l(r:p7udt4930-p7udt5952);(-122.61217981722126,38.09947349999339);(-122.6120888557925,38.09950907583053);(-122.61198096198635,38.09953670918909);(-122.61189458885053,38.09958053593294);(-122.61179030390777,38.099607718533356);(-122.61169531138826,38.09963490525667) l(r:p7udt4930-p7udt5973);(-122.61316040431151,38.09907734526071);(-122.6130923546655,38.099005019629786);(-122.61301678725589,38.09906064951804);(-122.61292450917473,38.09910584166818);(-122.61283378471362,38.099159186373875);(-122.61274150639655,38.09920437837826);(-122.61265603290335,38.09925680289935);(-122.6125661087077,38.099309717439965);(-122.61247302938997,38.0993553391848);(-122.61237630001817,38.09940102097745);(-122.61227806447064,38.099429537513444) l(r:p7udt5972-p7udt6013);(-122.6102010146082,38.097764274128366);(-122.61009715990761,38.0977549719978);(-122.60999568101208,38.09777260551112);(-122.60989299024178,38.097781277338036);(-122.60978913555853,38.097771974935334) l(r:p7udt5969-p7udt6013);(-122.6107971446065,38.09770264249219);(-122.61070457093032,38.09772066598684);(-122.61061323724408,38.09773838876807);(-122.61050782693768,38.097738308517854);(-122.61040634816082,38.09775594238655);(-122.61030249344441,38.097746640437364) l(r:p7udt5967-p7udt5969);(-122.61171868653652,38.09737279509724);(-122.61170683269042,38.097428313809836);(-122.61169514183968,38.09748235138048);(-122.61169506640306,38.09752593295407);(-122.61167152332267,38.097600142656376);(-122.61156382831267,38.097659176026504);(-122.61147775629155,38.09764237975361);(-122.6113980185382,38.09762409068519);(-122.61129542360972,38.0976147386556);(-122.6112041856954,38.09761443681382);(-122.61109223259236,38.09764092942276);(-122.61097808960498,38.09765857699555);(-122.61088456626705,38.09766745505976) l(r:p7udt5931-p7udt6049);(-122.61152283800122,38.0963648142032);(-122.61158731590098,38.09633590028646);(-122.61165945103082,38.0962666768407);(-122.61172761457132,38.09620432072372);(-122.61176093969566,38.09616059571142);(-122.61178317075024,38.09611689540982);(-122.61181752227407,38.09602763931944);(-122.61186407687683,38.09594560815099);(-122.61189831730125,38.09586541725568);(-122.61193295962845,38.09578441733795);(-122.6119676018785,38.095703417408494);(-122.61201304817678,38.09562530320725) l(r:p7udt5937-p7udt5966);(-122.60923877218072,38.09606959569254);(-122.60920403217555,38.09614189628322);(-122.60916952923881,38.0962225813441);(-122.60912412755167,38.096291681877396);(-122.60908948188705,38.09637268094192);(-122.60904343158937,38.096453642051884);(-122.60900883377617,38.09652562854813);(-122.60898499202038,38.09660951359218);(-122.60895044313651,38.09673461479368);(-122.60896165877944,38.096776059661835);(-122.60900567431058,38.096836591015176);(-122.60906062975592,38.09687993597263);(-122.60914357649564,38.09692263564325) l(r:p7udt5936-p7udt5966);(-122.60997548033582,38.09507233340055);(-122.60994160261696,38.09500913202767);(-122.60990772495602,38.09494593064466);(-122.60982967753557,38.09496902016833);(-122.60974701744718,38.09496888487699);(-122.60965578283906,38.094968581850694);(-122.60956596313508,38.09496821385746);(-122.60947468057134,38.09497692323485);(-122.60938339798656,38.09498563254121);(-122.6093065051133,38.09501175590223);(-122.60922208254298,38.09503022931614);(-122.60916482088572,38.09507510239702);(-122.60910755915845,38.0951199754493);(-122.6090844144147,38.09518298737993);(-122.60906131764042,38.09523698676171);(-122.60906102958228,38.09529106202189);(-122.6090493371397,38.09534509934025);(-122.60907185785567,38.09539925048106);(-122.6091156348987,38.09543982262581);(-122.60914960809826,38.09548499914319);(-122.60918358133951,38.095530175650616);(-122.60922862282332,38.09558403930722);(-122.60927395260258,38.095638266255456);(-122.60932006382603,38.09572087283399);(-122.60934307470801,38.095785222677385);(-122.60933162511057,38.09583449164068);(-122.60930831107862,38.0959069341121);(-122.60926261346611,38.09598571058676) l(r:p7udt5930-p7udt5940);(-122.6107084816176,38.09384570049044);(-122.61082285630896,38.093828014324814);(-122.61093599963604,38.093801555941994);(-122.61103953640993,38.09378370779987);(-122.61115391092754,38.09376602131088);(-122.61124719056541,38.093802205739316);(-122.61132687640696,38.09382950744737);(-122.61141796646373,38.09385684681287);(-122.61146324909986,38.093920085483504);(-122.61151993598907,38.093983361837964);(-122.61157662297576,38.094046638164905);(-122.61162195355806,38.09410086422583);(-122.61163297621653,38.0941730022993);(-122.61164404658682,38.09423612782326);(-122.611631226299,38.09427616687902);(-122.61157354290292,38.09435743692282);(-122.61146695883419,38.09441428286419);(-122.6114077470754,38.09443298154675);(-122.6113009654927,38.09441524759506) l(r:p7udt5930-p7udt5991);(-122.60996577272212,38.09459018079332);(-122.6099892296956,38.094499260864445);(-122.6100009433855,38.09441004343431);(-122.61001282653821,38.094319955824815);(-122.61004740883548,38.09423985304301);(-122.61011621714187,38.09416797971865);(-122.61017634988897,38.09409922488786);(-122.61023308129947,38.09403695099674);(-122.61030246596997,38.09397346531485);(-122.61037080670138,38.093902048054204);(-122.61049184897034,38.09388099385537);(-122.61060817583744,38.093872191653084) l(r:p7udt5936-p7udt5991);(-122.60996497922304,38.095075848824074);(-122.60994198731254,38.09477206869749);(-122.6099652323833,38.09468120284025) l(r:p7udt6036-p7udt6051);(-122.60903032141857,38.09463303249789);(-122.60911048734728,38.09457021026166);(-122.60917924888511,38.0945073500413);(-122.60925936656506,38.09445354024551);(-122.60927105870537,38.09439950289644);(-122.60929410707726,38.09435451600848);(-122.60928313467468,38.09427336518507);(-122.60927211431364,38.09420122690486);(-122.60926114195686,38.09412007607751);(-122.60923877730268,38.09405818736468);(-122.60922770902869,38.0939950616236);(-122.60928676478812,38.09391710503846);(-122.60934245847619,38.09384095257769) l(r:p7udt5936-p7udt6051);(-122.60995447810923,38.09507936424665);(-122.60993110151162,38.095012647448236);(-122.60989722385816,38.09494944606229);(-122.60983104452352,38.09497796781365);(-122.60974696952219,38.09497789742153);(-122.60965573490287,38.09497759439514);(-122.60956733009081,38.09497716150569);(-122.60947604751611,38.094985870884074);(-122.60938476492034,38.09499458019141);(-122.60931095340052,38.09502005467327);(-122.60916174494585,38.09497548992605);(-122.60910871124224,38.09490367439803);(-122.60904062143298,38.094840358956);(-122.60898393603631,38.09477708142276);(-122.60896160765616,38.094686880084296) l(r:p7udt5936-p7udt5953);(-122.61071340831977,38.09586011193967);(-122.61065676850835,38.09578782266802);(-122.61060012880816,38.09571553336879);(-122.61054348921914,38.095643244041966);(-122.61048684974136,38.09557095468755);(-122.61043021037476,38.09549866530555);(-122.61036438176505,38.09545553056325);(-122.6103149367172,38.095403379338414);(-122.61023869957268,38.09535542322132);(-122.61018191699972,38.09531017135284);(-122.61012602294035,38.09527459990326);(-122.61007966066033,38.09523773046503);(-122.61004361106434,38.09518119227953);(-122.61001985923197,38.09513201933588) l(r:p7udt5953-p7udt6049);(-122.61139910468003,38.09645994217186);(-122.61132424772394,38.09647923368105);(-122.61124427255277,38.09650600723102);(-122.61117793537093,38.096438462856526);(-122.61112129465853,38.09636617381101);(-122.61106465405737,38.0962938847379);(-122.6110080135674,38.0962215956372);(-122.61095137318863,38.096149306508934);(-122.61089473292107,38.09607701735306);(-122.61082723232667,38.096005323100734);(-122.61077974455355,38.095927656577935) l(r:p7udt5967-p7udt6049);(-122.61167425789783,38.09725833324158);(-122.6116627165384,38.09720285050879);(-122.61161834337331,38.09712376827548);(-122.61156244637047,38.0970525982245);(-122.61151651249418,38.0969702157489);(-122.61147149478059,38.09689833550013);(-122.61142608665469,38.096816700845345);(-122.61139129105482,38.09674082376347);(-122.61134610222443,38.09665955999911);(-122.61128946117694,38.09658727103556);(-122.61123383153665,38.09650963309331);(-122.61131979912135,38.09647093498657);(-122.61138270310673,38.096436266498394);(-122.6114614364997,38.09641659845715) l(r:p7udt5948-p7udt5967);(-122.61252532234376,38.098290517118286);(-122.61246867888465,38.09821822873017);(-122.61241203553678,38.09814594031447);(-122.6123553923001,38.09807365187117);(-122.61229874917466,38.098001363400265);(-122.61215246037253,38.09787605883038);(-122.61207384423156,38.09782243337309);(-122.6119883720706,38.097784912593454);(-122.61190037394444,38.097750025726214);(-122.61181389259426,38.09773307946594);(-122.61172032397856,38.097705122726424);(-122.61164086262694,38.097607129418954);(-122.61166155639312,38.09752145041361);(-122.61166109069612,38.09748075717475);(-122.6116731075996,38.09742375732737);(-122.61168479845682,38.09736971975841);(-122.61170761565212,38.097309669611114) l(r:p7udt5981-p7udt6031);(-122.612529022191,38.09753332154906);(-122.61260777760619,38.09746585656084);(-122.61265528293053,38.09738052190283);(-122.61271298741849,38.09730821072728);(-122.6127800848511,38.097232094263234) l(r:p7udt5948-p7udt6031);(-122.61251562583067,38.09829526186514);(-122.61245898237752,38.09822297347245);(-122.61240233903561,38.098150685052154);(-122.6123456958049,38.09807839660427);(-122.61228905268543,38.09800610812878);(-122.61230925512449,38.097843405443996);(-122.61236665987123,38.09777149322417);(-122.61241416567607,38.097686158670655);(-122.61248151661984,38.09761865615249) l(r:p7udt5948-p7udt5973);(-122.61315125182779,38.099082722748676);(-122.61308320218593,38.099010397112544);(-122.61304486257326,38.09892735403868);(-122.61298821811395,38.098855065903436);(-122.61292187721348,38.0987875225204);(-122.61286523298261,38.09871523432538);(-122.61280858886298,38.098642946102785);(-122.6127522856421,38.09858013347859);(-122.61269525338845,38.09850738211707);(-122.61263860959568,38.09843509381173);(-122.61259166243194,38.098358060726554) l(r:p7udt4888-p7udt5973);(-122.61379469626446,38.09980882931675);(-122.6137271894209,38.09973713674214);(-122.61366999956634,38.09966421625158);(-122.61360249297707,38.09959252360496);(-122.61353412650278,38.0995107762213);(-122.61347730039925,38.099438265104695);(-122.61341894670569,38.099361194838984);(-122.61335260495304,38.09929365170035);(-122.61328509901325,38.09922195887033);(-122.61323760657787,38.099144293358144) l(r:p7udt4888-p7udt4919);(-122.6142804690437,38.1003644353971);(-122.6142465378208,38.10031024783041);(-122.61416933341057,38.10024330039762);(-122.61411214272458,38.100170380124055);(-122.61404463523968,38.1000986877328);(-122.61398744478963,38.10002576739803);(-122.613919937559,38.09995407493473);(-122.61386103888793,38.09987637220454) l(r:p7udt4088-p7udt4919);(-122.61424302539254,38.10102047176017);(-122.61426631345657,38.100939246356376);(-122.61427793824366,38.100859130096936);(-122.61431255274026,38.1007701120442);(-122.61432438432813,38.10068903661398);(-122.61432476330802,38.10061693628836);(-122.61431373708768,38.10054479852712);(-122.61431411607609,38.10047269819982) l(r:p7udt4087-p7udt4088);(-122.61439882138511,38.10171672818037);(-122.61429496110988,38.101707319487176);(-122.61412798745721,38.101504373120186);(-122.61414982412386,38.101434041290766);(-122.614172914114,38.101362305627596);(-122.61418446892043,38.101282419925276);(-122.6142079092115,38.10119159768535);(-122.61423091549767,38.10111232649414) l(r:p7udt4882-p7udt4921);(-122.61192491774766,38.1004209558627);(-122.61201026860438,38.100465113708786);(-122.61210127184556,38.10051047763662);(-122.61219232283388,38.10054682895562);(-122.61228851706908,38.10057513597433);(-122.61236964014842,38.10062119436165);(-122.61245402352694,38.100664857414294) l(r:p7udt4918-p7udt4921);(-122.6118037499062,38.10046846222309);(-122.61176973022836,38.10054828355513);(-122.61173508542687,38.100629283345924);(-122.61168968338458,38.100698384816994);(-122.61165503842261,38.100779384581244);(-122.61162039338348,38.10086038433377) l(r:p7udt4868-p7udt4921);(-122.61166014046044,38.1003380484527);(-122.61175128639017,38.10035637503546);(-122.61184362153953,38.100384022921105) l(r:p7udt4864-p7udt4868);(-122.61061949894314,38.10015042123563);(-122.610653585946,38.10022313158713);(-122.61069852198112,38.100303898900435);(-122.61074371230156,38.10038516287168);(-122.61078620078341,38.10036257054775);(-122.61084332221166,38.10034473434657);(-122.61092583191845,38.10033544084418);(-122.61100424194701,38.100317931242685);(-122.61111007122281,38.100308922069644);(-122.61122421830791,38.10029127439871);(-122.61131541180933,38.10030058878083);(-122.61139686036084,38.100300948751105);(-122.61148785571125,38.100310241359125);(-122.611566182133,38.10032845610185) l(r:p7udt5945-p7udt5970);(-122.60923948212361,38.09841408061636);(-122.60920592552866,38.098369511923785);(-122.60917195097431,38.098324335437425);(-122.60912501905804,38.09826546614679);(-122.60907968778335,38.098211239157145);(-122.60903435657545,38.098157012149855);(-122.60897950941784,38.0981077528053);(-122.60895584900858,38.098060958462696);(-122.60892328098898,38.098026859669204);(-122.6089214131005,38.097949344242316) l(r:p7udt5945-p7udt6001);(-122.60942999573403,38.09886308583566);(-122.6094074055646,38.09878012893292);(-122.6093736705841,38.09868988981427);(-122.6093401488799,38.098610619220565);(-122.60930667498549,38.098530288583255);(-122.60928363105769,38.09846427301049) l(r:p7udt5942-p7udt6001);(-122.60946351879241,38.098951556696036) l(r:p7udt5990-p7udt6043);(-122.60919057712432,38.099481935873634);(-122.60908670204806,38.09949986362897);(-122.60798780331645,38.099784823617775) l(r:p7udt5932-p7udt5975);(-122.61376899880422,38.09569417670952);(-122.61368888304212,38.09574798957914);(-122.6136154047094,38.0958001454532);(-122.61357183523464,38.095843361953584);(-122.61352518238805,38.09588000209302);(-122.61348071183816,38.095932558450386);(-122.6134469300407,38.095985554802475);(-122.6134246371488,38.09606452032064);(-122.61341301223536,38.09614463656015);(-122.61338956901211,38.0962266336016);(-122.61336633261504,38.09630767147445);(-122.61334309616592,38.0963887093413);(-122.61330873697885,38.09646775547121) l(r:p7udt4708-p7udt4718);(-122.677439303684,38.072759518164986);(-122.67754203103601,38.072732761786156);(-122.67764475831375,38.07270600531709);(-122.67774752505983,38.07267023611226);(-122.67785025217641,38.07264347946256);(-122.67795301873599,38.07260771007683);(-122.67805574569137,38.07258095324652);(-122.67815847257246,38.07255419632597);(-122.67826123885867,38.072518426668964);(-122.67836396557858,38.072491669567775);(-122.6784666922242,38.072464912376375);(-122.67856945823698,38.07242914244809);(-122.67867218472145,38.072402385076046);(-122.67878631203439,38.07237565877247);(-122.67888903836622,38.07234890120996);(-122.67900316551851,38.07232217469485);(-122.67910589169779,38.07229541694187);(-122.6792086178028,38.072268659098654);(-122.67932278406481,38.07223291962419);(-122.67942551000458,38.07220616159039);(-122.67952823587008,38.072179403466336);(-122.6796423625304,38.072152676327555);(-122.67974508824331,38.072125918013036);(-122.67984781388192,38.072099159608285);(-122.67996197957329,38.07206341950934);(-122.68006470504669,38.072036660913994);(-122.68016743044579,38.07200990222841);(-122.68028147815271,38.072001199763584);(-122.6803954866166,38.07200150983686) l(r:p7udt3456-p7udt4708);(-122.67254182379702,38.07419702837166);(-122.67264463525832,38.0741522510199);(-122.67273604542999,38.07410744183161);(-122.6728274554904,38.07406263257136);(-122.6729188654396,38.07401782323918);(-122.67302167642178,38.073973045554055);(-122.67311308614171,38.07392823606908);(-122.67320449575038,38.073883426512175);(-122.67329590524785,38.073838616883315);(-122.6733987157509,38.0737938388648);(-122.67349012501909,38.07374902908317);(-122.67369550516679,38.073713548453995);(-122.67388952403657,38.07366902319078);(-122.67409494376457,38.07362452922051);(-122.67428892219314,38.0735890159361);(-122.67449434146012,38.073544521265056);(-122.6746997604806,38.07350002623352);(-122.67489373829468,38.07346451194733);(-122.67509915685416,38.073420016215024);(-122.67529321395784,38.07336647598283);(-122.6754758697361,38.07331290394911);(-122.67566992628609,38.073259363091424);(-122.6758639825552,38.073205821911564);(-122.67605803854343,38.07315228040955);(-122.67625209425074,38.07309873858537);(-122.67644614967715,38.073045196439004);(-122.67653747678501,38.073018409556795);(-122.67664020482266,38.07299165397048);(-122.67673153179412,38.07296486693662);(-122.67683425968725,38.072938111179795);(-122.67693698750615,38.07291135533274);(-122.67703971525074,38.07288459939542);(-122.67714248253822,38.07284883072316);(-122.6772338091513,38.07282204329673);(-122.67733653666451,38.072795287098536) l(r:p7udt3456-p7udt3525)_s0 l(r:p7udt3456-p7udt3525)_s1 l(r:p7udt3519-p7udt7768);(-122.67420504335588,38.077076717357876);(-122.67415867704834,38.07724783099868);(-122.67411235051743,38.07740993198023);(-122.67406598377812,38.07758104557282);(-122.67401965682733,38.07774314650674);(-122.67397328965629,38.07791426005112);(-122.67392692226639,38.078085373571206);(-122.67388059468276,38.078247474433574);(-122.67383422686108,38.07841858790546);(-122.67378785882056,38.07858970135308);(-122.67374153060409,38.07875180214386);(-122.67369516213176,38.07892291554326) l(r:p7udt3525-p7udt7768)_s0 l(r:p7udt3525-p7udt7768)_s1 l(r:p7udt3473-p7udt3525);(-122.67008251410333,38.07598368765663);(-122.67082320461407,38.07607589198462) l(r:p7udt3473-p7udt3500)_s0 l(r:p7udt3473-p7udt3500)_s1 l(r:p7udt1914-p7udt1922);(-122.66938325177819,38.07428732599039);(-122.66948606527171,38.074242551411764);(-122.66957743691785,38.07420675732594);(-122.66966880847531,38.07417096316847);(-122.66976017994412,38.074135168939335);(-122.6698515918378,38.074090362002295);(-122.66994296311799,38.074054567629695);(-122.67003433430953,38.074018773185436);(-122.67012570541239,38.07398297866952);(-122.67022851803064,38.07393820343503);(-122.67033124961522,38.073911453383644);(-122.67043398112551,38.073884703242) l(r:p7udt1922-p7udt3481);(-122.66911875061658,38.07479129560519);(-122.66917644710546,38.074638241289954);(-122.66923418394163,38.074476174306206);(-122.66916593902702,38.0744399312289);(-122.66929187993799,38.07432311992384) l(r:p7udt3481-p7udt3513);(-122.66895620790284,38.075439755768166);(-122.6689456190677,38.07525947098398);(-122.66900331629179,38.07510641676931);(-122.66906105388504,38.074944349886984) l(r:p7udt3500-p7udt3513);(-122.66898724349674,38.076142837466975);(-122.66897661387308,38.07597156533894);(-122.6689660249208,38.07579128057234);(-122.66895543601723,38.0756109957995) l(r:p7udt3500-p7udt3540);(-122.66808216836603,38.077104648710375);(-122.66818494587889,38.077068887943426);(-122.66828772329222,38.07703312708592);(-122.66837909899759,38.07699733396746);(-122.66848187621724,38.07696157293883);(-122.66857325173982,38.07692577966815);(-122.66867606942762,38.076881005837514);(-122.66876744475613,38.07684521241446);(-122.66887022157572,38.076809451043445);(-122.66888198888361,38.076728369484805);(-122.66890515771951,38.07664732004397);(-122.66892832650365,38.07656627059718);(-122.66895149523603,38.076485221144495);(-122.66897466391663,38.076404171685866);(-122.66899783254546,38.07632312222135) l(r:p7udt3438-p7udt3540);(-122.66668163574974,38.07718197478501);(-122.66689590892653,38.077209445494084);(-122.66700992532348,38.07720976858848);(-122.66711254008229,38.07721005927886);(-122.66722655648267,38.077210382163116);(-122.66734057288491,38.07721070493675);(-122.66743178600801,38.077210963076034);(-122.66752299913225,38.07721122114452);(-122.66799075163735,38.07714945422588) l(r:p7udt3438-p7udt3567);(-122.66445764684528,38.076832987064975);(-122.66456017871701,38.07685130523565);(-122.66467411222482,38.07686965584786);(-122.66478804579032,38.076888006349854);(-122.66490197941357,38.07690635674159);(-122.66500451150135,38.0769246745254);(-122.66511844523706,38.07694302470769);(-122.66523237903053,38.07696137477974);(-122.66534635395234,38.07697071211388);(-122.6654488862436,38.07698902951074);(-122.66556282019329,38.07700737926303);(-122.66567675420072,38.07702572890508);(-122.66579068826584,38.077044078436884);(-122.66589322077311,38.077062395446866);(-122.66600715495073,38.077080744769226);(-122.66612108918606,38.077099093981346);(-122.66623502347913,38.07711744308323);(-122.66634895782994,38.07713579207484);(-122.66645400392906,38.0771453176069);(-122.6665654250712,38.07717245738093) l(r:p7udt3557-p7udt3567);(-122.66401331517686,38.076759615711715);(-122.66412724840194,38.07677796685307);(-122.66422978011242,38.076796285311396);(-122.66434371344997,38.0768146362433) l(r:p7udt3506-p7udt3557);(-122.66379685046034,38.076722945714124);(-122.6638993820095,38.07674126446013) l(r:p7udt1913-p7udt1928);(-122.66512876378764,38.06967877744392);(-122.66530531450795,38.068462558160775) l(r:p7udt1912-p7udt1928);(-122.66584256540341,38.07065418652286);(-122.66512876378764,38.06967877744392) l(r:p7udt1912-p7udt7080);(-122.66391880771748,38.07249631573546);(-122.66655638591286,38.07162959119284) l(r:p7udt3547-p7udt7080)_s0 l(r:p7udt3547-p7udt7080)_s1 l(r:p7udt3447-p7udt7080);(-122.65920371207498,38.0738887274025);(-122.66129256867669,38.07336301352299) l(r:p7udt2457-p7udt2500);(-122.64390462409992,38.06183838234479);(-122.64398446235418,38.061829611422404);(-122.6441901721299,38.06172208113761);(-122.64431599970405,38.06163233432271);(-122.64423664171177,38.06154196652769);(-122.64404298662201,38.06151434195398);(-122.64389488468885,38.061495867838936);(-122.64374678283161,38.0614773935376);(-122.64363296597412,38.06144099747218);(-122.64351919295504,38.06139558866602) l(r:p7udt2472-p7udt2486);(-122.64259182808514,38.062221946715276);(-122.64239825957249,38.06217629417189);(-122.64220469130268,38.062130641310574);(-122.64206820739577,38.06206713644791);(-122.6419259420357,38.062011398848405);(-122.6418136326026,38.06197558872258);(-122.64171526982706,38.061975933566664) l(r:p7udt2472-p7udt2500);(-122.64377875197799,38.06193714134224);(-122.64375560392044,38.06200917329003);(-122.64377809656702,38.06207233079525);(-122.64383487444394,38.062117566662394);(-122.64390318270456,38.062135799146375);(-122.64387994734078,38.06222585637648);(-122.64386811121967,38.06231594813841);(-122.64384487575424,38.06240600535834);(-122.64382168392052,38.0624870499425);(-122.64363960067372,38.062423408720775);(-122.64351442682441,38.062377965349725);(-122.64337785382827,38.06233248726125);(-122.64321848241178,38.062286939815785);(-122.64307051048235,38.062241426770235);(-122.64291113945677,38.062195878909264);(-122.64276281735252,38.06222246650936);(-122.64268297853174,38.062231236598514) l(r:p7udt5221-p7udt5229);(-122.65254997385624,38.060863838254306);(-122.65269909913088,38.06066599809297);(-122.65276796194603,38.06056706110097);(-122.6528254681322,38.0604590777578);(-122.65287153256541,38.06036007336286);(-122.65312252347549,38.060315750470934) l(r:p7udt5219-p7udt5220);(-122.65502032907297,38.05889223094566);(-122.6548750489345,38.058914794554596);(-122.65473613490279,38.05896858585954) l(r:p7udt5220-p7udt5230);(-122.65972650757496,38.0570183534952);(-122.6597725188144,38.05692835893668);(-122.65983009528043,38.056802346730116);(-122.65983063760508,38.05668518223236);(-122.65984257835483,38.05656805071697);(-122.65977489708932,38.05641463767398);(-122.659855436766,38.05625264080327);(-122.65971861448477,38.05626125758585);(-122.65963882582147,38.0562610265871);(-122.65946764131225,38.05630559467763);(-122.65929645659703,38.056350162517525);(-122.65913671187829,38.05638575050628);(-122.65896561042469,38.05641229255507);(-122.65876027178042,38.05644774774522);(-122.65854357640174,38.056474156813124);(-122.65833832118618,38.05649158595857);(-122.65815590463244,38.05650006839017);(-122.65809836747225,38.0566170671184);(-122.6580407881909,38.05674307846726);(-122.65791490177926,38.056850865472924);(-122.6577208339615,38.05691338996175);(-122.65756117126142,38.05693095049389);(-122.6574014664712,38.056957523459836);(-122.65720752405875,38.056993009143994);(-122.65702497995767,38.057028527765354);(-122.65684243568214,38.05706404610215);(-122.65664845061725,38.057108543510815);(-122.65647726234967,38.05715310722193);(-122.65629467535446,38.0571976373543);(-122.65616895428087,38.05726937186812);(-122.65608874278296,38.05735926494753);(-122.65597408225965,38.05750313378867);(-122.65587081987694,38.057647035882944);(-122.6557562006938,38.05778189185203);(-122.6556758192149,38.05790783522885);(-122.65559547969784,38.05802476589895);(-122.65552653859129,38.05814172991497);(-122.65543488441088,38.05824060176934);(-122.65535467094962,38.05833049432358) l(r:p7udt5211-p7udt8361);(-122.66064150881485,38.056345040528356);(-122.66081227675478,38.05639059727773);(-122.66097164649896,38.056436120905666);(-122.66114245641434,38.0564726645214);(-122.661301826544,38.056518187702835) l(r:p7udt5230-p7udt8361);(-122.65971593983406,38.0570149756436);(-122.65976195108429,38.056924981089196);(-122.65981937490012,38.056799284382336);(-122.65981923915865,38.05668514924641);(-122.65983121670035,38.056567326590425);(-122.65976413461726,38.056417606156266);(-122.65984482854256,38.056249343459875);(-122.66007200597716,38.056253267274705);(-122.6601859064293,38.056271622145736);(-122.66029980693915,38.05628997690662);(-122.6604706578121,38.05631750884141) l(r:p7udt5229-p7udt5230);(-122.65311826884515,38.0612170145854);(-122.65323200505959,38.061271426769686);(-122.6533798964033,38.061334952332814);(-122.65346032810467,38.061199997995786);(-122.65350647715555,38.061082968080626);(-122.65349541804056,38.06101083334961);(-122.6535299978377,38.06092982038238) l(r:p7udt6438-p7udt6667);(-122.65384760793654,38.0556578227889);(-122.65365777923395,38.055585623950684);(-122.65353239828156,38.05558525446925);(-122.6535847457971,38.05415237738817) l(r:p7udt6754-p7udt6778);(-122.64583030000657,38.04919194578357);(-122.64591623161625,38.049199608244564);(-122.64600867259212,38.04921811171027);(-122.64609700103865,38.04923613412212);(-122.64618813585831,38.049245421230495);(-122.64626783005485,38.04926368662622);(-122.64754013375314,38.050141748045036) l(r:p7udt1763-p7udt6802);(-122.64799555182832,38.04786287838505);(-122.64804079489002,38.047935116129906);(-122.64808599489619,38.0480163665128);(-122.64809700369973,38.048097514532586);(-122.64810801252689,38.04817866255037);(-122.6481303753645,38.04826885733578);(-122.6481413842527,38.048350005348354);(-122.64815235002793,38.048440166014224);(-122.64817475613098,38.04852134813265);(-122.6481857219711,38.0486115087931);(-122.64791918390989,38.04953001472654) l(r:p7udt1763-p7udt6813);(-122.64800598412347,38.04785924918334);(-122.6480510080406,38.04793111606682);(-122.6480964272088,38.04801273730323);(-122.64810833585686,38.04809655315873);(-122.64811934469638,38.048177701175504);(-122.6481415596272,38.04826712325917);(-122.64815271644821,38.04834904397044);(-122.64816369491199,38.048439303163256);(-122.64818589125417,38.04851942630991);(-122.64819706688124,38.04861064593905);(-122.64966529391481,38.04904854105434) l(r:p7udt1763-p7udt1774);(-122.64703272975476,38.046625239773206);(-122.64703234031498,38.046706353685835);(-122.64703190760228,38.046796480254066);(-122.64708858923954,38.04685973993237);(-122.64714527097414,38.04692299958317);(-122.64720190955524,38.04699527186303);(-122.64725859149134,38.04705853145872);(-122.6473152735248,38.04712179102687);(-122.64737195565559,38.04718505056748);(-122.64745143182007,38.04724837844004);(-122.64753095133246,38.0473026936024);(-122.64761047096243,38.047357008711046);(-122.64768994751842,38.047420336422405);(-122.64776946739329,38.04747465142363);(-122.64781466681964,38.047555901914336);(-122.6478599095165,38.04762813973079);(-122.64790510913612,38.04770939018557);(-122.64795035201541,38.04778162796621) l(r:p7udt1769-p7udt1774);(-122.6460563738696,38.04583819174438);(-122.64613602096608,38.04586546987893);(-122.64623841826787,38.04590182920967);(-122.64632946226392,38.04592914150823);(-122.64643190309475,38.045956488013545);(-122.6465229038989,38.045992812820195);(-122.64661394811478,38.04602012489907);(-122.64671634587101,38.046056483814795);(-122.64680739023862,38.046083795744316);(-122.64689839138764,38.04612012026121);(-122.64694358902764,38.046201371096764);(-122.64698878676697,38.04628262191428);(-122.64703398460561,38.04636387271382);(-122.64703355190026,38.04645399928733);(-122.64703316246379,38.04653511320233) l(r:p7udt1769-p7udt6783);(-122.64604483158695,38.048235558089004);(-122.64596531217684,38.04818124193044);(-122.64588574947042,38.048135938371765);(-122.64581762738126,38.04808165644399);(-122.64573810830585,38.048027340131966);(-122.64565858934805,38.04797302376624);(-122.64565893687987,38.04790092253691);(-122.64565928441048,38.047828821306695);(-122.64575067800907,38.04778403282407);(-122.64583071787438,38.04773019727977);(-122.64592211124648,38.04768540866199);(-122.64600210748033,38.04764058565338);(-122.64608214700588,38.047586749934894);(-122.64611672852563,38.04750573897242);(-122.64613991297463,38.0474246936929);(-122.64616305399312,38.047352661062526);(-122.64619763531175,38.047271650072034);(-122.64622081959583,38.047190604772545);(-122.6462440038281,38.0471095594671);(-122.64627858494126,38.04702854844844);(-122.64630176905744,38.04694750312881);(-122.6463249531218,38.04686645780323);(-122.64635953402949,38.046785446756395);(-122.64638271797777,38.04670440141663);(-122.64634887416956,38.04663219731589);(-122.64631507378621,38.0465509805484);(-122.64629267032299,38.04646979806138);(-122.64625887007513,38.04638858127617);(-122.64622506990128,38.04630736448049);(-122.64620262323756,38.046235194630626);(-122.64616882319517,38.04615397781729);(-122.64613502322685,38.0460727609935);(-122.64610122333255,38.04599154415924);(-122.64607877688456,38.04591937428292);(-122.6460233258686,38.0458437924279);(-122.6460453676387,38.04575704351504) l(r:p7udt6754-p7udt6783);(-122.64583695986737,38.049184631844994);(-122.64591768834994,38.049190669447356);(-122.64601147894098,38.04920937647727);(-122.64609845775115,38.04922719532263);(-122.6461895925599,38.049236482429855);(-122.64627100282809,38.04925503016677);(-122.64632520670726,38.049182744215905);(-122.6463825832328,38.04910180177584);(-122.6464285623898,38.04902082502744);(-122.646371923027,38.04894855239594);(-122.64631528377524,38.04887627973682);(-122.64624729079728,38.04879496010114);(-122.64619065178566,38.048722687381265);(-122.6461682911972,38.04863249224488);(-122.64615728444868,38.04855134406194);(-122.64614632110629,38.048461183223665);(-122.6461353144062,38.04838003503655);(-122.64611295398664,38.04828983988522) l(r:p7udt6754-p7udt7636);(-122.64350117649848,38.04866048544251);(-122.64347890665168,38.04855226450891);(-122.64346807772871,38.04843506548496);(-122.64349152764164,38.04829994485091);(-122.64353790278281,38.04813785537458);(-122.64359563109848,38.04798481307958);(-122.64364200580611,38.04782272355135);(-122.6436997336528,38.047669681194705);(-122.64377990589776,38.04758880909559);(-122.64383667265855,38.047634045017844);(-122.64389343948918,38.04767928091268);(-122.64391584065733,38.04776046382892);(-122.64392680116477,38.0478506248719);(-122.6439492024205,38.04793180777985);(-122.64396016299276,38.04802196881741);(-122.64401679926854,38.048094242607014);(-122.64407347929154,38.04815750371724);(-122.64413015941184,38.048220764799915);(-122.644186796007,38.04829303850678);(-122.64424347632887,38.04835629953437);(-122.64428871599195,38.04842853870043);(-122.64439120312281,38.04844687433428);(-122.64449364672028,38.04847422253071);(-122.64459613396724,38.04849255798632);(-122.644698621266,38.04851089335279);(-122.64486909974465,38.048610549096765);(-122.64493717808499,38.0486738442201);(-122.6450052130196,38.04874615195571);(-122.64509617304066,38.04879149051491);(-122.64518713317423,38.04883682900393);(-122.64527809342036,38.04888216742278);(-122.64536905377902,38.04892750577146);(-122.645448660486,38.04896379702452);(-122.64552818035351,38.04901811352764);(-122.64559634654087,38.04906338296584);(-122.64568256993515,38.04910137278741);(-122.64574407642921,38.049153956070036) l(r:p7udt6798-p7udt7636);(-122.64823128958267,38.05337940605424);(-122.64810630081469,38.05329791697354);(-122.64798126915929,38.05322544040797) l(r:p7udt6798-p7udt6799);(-122.65012025549696,38.054033963434854);(-122.64999500599647,38.05400655224754);(-122.6498242074716,38.05396999247289);(-122.64966476416625,38.05394247906862);(-122.64936871691417,38.053878506962235);(-122.64923215599565,38.05383303566956);(-122.64906148736152,38.05376943684529);(-122.64889081902425,38.05370583777399);(-122.6485610104746,38.0535516350232);(-122.64839047242587,38.053460997284105);(-122.64822508978654,38.053386968855435);(-122.64708509289706,38.05470986132273) l(r:p7udt6628-p7udt6799);(-122.65057523379475,38.054233597826226);(-122.65024550509179,38.05406137448906) l(r:p7udt6628-p7udt6667);(-122.65384396321255,38.05566636232495);(-122.65365340007156,38.05559394496354);(-122.65353235579367,38.05559426711874);(-122.65345231291992,38.055648107820716);(-122.65340650729118,38.055693036645685);(-122.65322447494191,38.055620397590026);(-122.65303100214291,38.055556737259046);(-122.6528489279343,38.05549311027263);(-122.65266689663646,38.055420470356125);(-122.65247338223516,38.055365821759004);(-122.65229130898769,38.05530219391143);(-122.65209783784444,38.0552385320491);(-122.65189296883577,38.055174836115874);(-122.65167674470669,38.055102093403846);(-122.65155157825558,38.05505665857145);(-122.65141505652998,38.055002177158194);(-122.65131272950785,38.05494779702202);(-122.65121040263755,38.05489341679697);(-122.65106265447892,38.05480285056174);(-122.65092639042696,38.05469429269234);(-122.65082436392589,38.054576823592875);(-122.65076788728632,38.05446850251155);(-122.65070001273733,38.05436014753344) l(r:p7udt5232-p7udt6667);(-122.65403975188298,38.059520788766925);(-122.65409667808336,38.05942262760237);(-122.65414234165928,38.059315488161324);(-122.65413096427281,38.05919276813658);(-122.65410878359467,38.05909453252645);(-122.65409770825276,38.059059022522156);(-122.65404162799608,38.05893352411244);(-122.65396341280669,38.05883596083209);(-122.65391874197387,38.058791556968835);(-122.65388388918143,38.058745397299596);(-122.65384017000393,38.058710953439586);(-122.65379394999697,38.058665010710655);(-122.6537805052947,38.058616044578045);(-122.65382770733999,38.05854685506863);(-122.6538264816092,38.058488233653044);(-122.65386154422487,38.058351377799625);(-122.65388482678956,38.05822576051895);(-122.65397834284263,38.05811415779917);(-122.65410562540471,38.05801401832117);(-122.65425534563784,38.057923560331304);(-122.65441529998039,38.057824947043805);(-122.65456399836569,38.05773519956795);(-122.65472432770007,38.057645352642716);(-122.65488362074043,38.057538158152674);(-122.65505574406417,38.05743909794187);(-122.6551920273939,38.05734098143141);(-122.65532927487791,38.05724224344546);(-122.6554782610889,38.05714330327803);(-122.65557984765177,38.0570456334701);(-122.65568243056167,38.05692934501365);(-122.65572703904604,38.05681478924893);(-122.65575000729717,38.05669897053267);(-122.65577337675676,38.05657295599673);(-122.65567197944075,38.0562945376318);(-122.65536443448534,38.05624857249222);(-122.65521634019777,38.05623011266268);(-122.65506828828649,38.05620263999686);(-122.65492023648723,38.05617516714498);(-122.65478362549234,38.05613871493214);(-122.65463561628424,38.0561022290728);(-122.65448760722438,38.05606574302758);(-122.65433964070235,38.056020244146886);(-122.65419167436472,38.055974745080476);(-122.65404370821155,38.05592924582831);(-122.65388438637294,38.05587470018022);(-122.65372510723675,38.05581114166747);(-122.65382214123798,38.055635098390546);(-122.65402201470124,38.05569484984403) l(r:p7udt6620-p7udt8370);(-122.65085807868195,38.065544401161425);(-122.65075317723013,38.06546139523318);(-122.65064765615003,38.0653735938373);(-122.65055701516536,38.065256158531064);(-122.65045497472296,38.06513868925367);(-122.65036454878854,38.06497619063708);(-122.65026268065294,38.06482267066185);(-122.65016085585656,38.06466013796406) l(r:p7udt4033-p7udt4034);(-122.6094988391672,38.08588324812376);(-122.6085491295025,38.08649295327539) l(r:p7udt4033-p7udt4035);(-122.610938185725,38.085401335274724);(-122.6094988391672,38.08588324812376) l(r:p7udt4035-p7udt4037)_s0 l(r:p7udt4035-p7udt4037)_s1 l(r:p7udt4036-p7udt4037)_s0 l(r:p7udt4036-p7udt4037)_s1 l(r:p7udt6032-p7udt6054);(-122.6127813852514,38.09266265735239);(-122.61273614979544,38.09259040662284);(-122.61269081930949,38.09253618097838);(-122.61264548889037,38.09248195531629);(-122.61255435249416,38.092463629454045);(-122.61246321614406,38.09244530352131);(-122.61240614886192,38.09245412801795);(-122.6123490815663,38.092462952486784);(-122.61226906354116,38.092498739329244);(-122.61217764148718,38.092534488481185);(-122.61209757566895,38.09257928775634);(-122.6120174621111,38.092633099526665);(-122.61193739608794,38.092677898690816);(-122.61185732996731,38.09272269779955);(-122.61177726374913,38.09276749685288);(-122.61169719743347,38.09281229585077);(-122.61161708332858,38.09286610734303);(-122.61153701680799,38.09291090622994);(-122.61144554617431,38.092955667354616);(-122.61136547945183,38.09300046612286);(-122.61128541263189,38.09304526483567);(-122.6112053457144,38.09309006349306);(-122.61111401791821,38.09310778670932);(-122.61101133380365,38.09311645955647);(-122.61092000592905,38.09313418262144);(-122.61081732175606,38.09314285529869);(-122.61072604160464,38.093151565663966);(-122.61062330957161,38.09316925071973);(-122.61053179023791,38.093223023674526);(-122.61044632218344,38.09327544667084);(-122.61034879901725,38.09332155681894) l(r:p7udt5947-p7udt6054);(-122.61288303032782,38.093715676447566);(-122.61282391542012,38.093650421110034);(-122.61282210411858,38.09359110037347);(-122.61282238938807,38.093537025073445);(-122.61286838613267,38.09346507496022);(-122.61290293116637,38.09340209981227);(-122.6129488802176,38.09333916221549);(-122.61294926044879,38.09326706181114);(-122.61294964067861,38.09319496140593);(-122.61295002090708,38.093122860999806);(-122.61293890204234,38.09306874813267);(-122.6129278307247,38.0930056227131);(-122.61292816344118,38.09294253485592);(-122.61289433167437,38.09287032175183);(-122.61286049997362,38.09279810863747);(-122.6128152643572,38.09272585793916) l(r:p7udt5947-p7udt6035)_s0 l(r:p7udt5947-p7udt6035)_s1 l(r:p7udt2934-p7udt2936);(-122.62224983618825,38.09551514679971);(-122.62230271900181,38.095545266439956);(-122.62235444600664,38.095561142254866);(-122.62242267999329,38.095635321246256);(-122.62245814724928,38.095691346224626);(-122.62249208244913,38.09574553148341);(-122.62252649131798,38.09582793690731);(-122.62253804069265,38.09588341862826);(-122.62254916705177,38.095937530600764);(-122.62256039462395,38.096002137281886) l(r:p7udt2936-p7udt8398);(-122.62193545206803,38.095382312359554);(-122.62197786400759,38.09543147830236);(-122.6220180723863,38.09545422413147);(-122.62209040625255,38.09546957065427);(-122.6221682777639,38.09550590706121) l(r:p7udt2930-p7udt8398);(-122.62215554559279,38.09459932557417);(-122.62208554492645,38.09466358326193);(-122.62202916976453,38.09473441493723);(-122.62200739611399,38.094803855735165);(-122.62198423980539,38.09488466483806);(-122.62196101332215,38.094965704481304);(-122.62193806842974,38.09504478989409);(-122.6219259645667,38.095127820442436);(-122.62191439563995,38.09519019162463);(-122.62191423823899,38.09524299276763);(-122.62191367059727,38.095326095872025) l(r:p7udt2930-p7udt2955);(-122.62190832557575,38.09347694552878);(-122.62198035891797,38.093476714769544);(-122.62206322603716,38.09349535350397);(-122.6221240437546,38.09353322811601);(-122.62218288613951,38.093598305492975);(-122.62221736251458,38.093644081409195);(-122.62221859268669,38.093720824035316);(-122.6222068637882,38.09378387528941);(-122.62219513486912,38.09384692654159);(-122.62219460971058,38.09393794873648);(-122.62219420697643,38.09402717772758);(-122.62220517836128,38.09408902736919);(-122.62221625782145,38.09415215194603);(-122.62223842353833,38.09421411076858);(-122.62223847846565,38.09428868859038);(-122.62223801456624,38.09437881417774);(-122.62222616439514,38.094443139626044);(-122.62219160883294,38.09453188101876) l(r:p7udt2955-p7udt2958);(-122.62078424745711,38.09452812458734);(-122.62084895971589,38.094467614973354);(-122.62092971276554,38.094404278990616);(-122.62099786673161,38.09434191742555);(-122.62106661793109,38.09427905019165);(-122.62114399746783,38.094222076127124);(-122.62122415264534,38.0941592455651);(-122.62129290347964,38.09409637819492);(-122.62136165419602,38.09403351078323);(-122.62142177634556,38.09396475013993);(-122.6214664683854,38.09390319899181);(-122.62151241043863,38.0938402580147);(-122.6215580980046,38.093768744440546);(-122.62160434077451,38.093705363444315);(-122.62165028258467,38.09364242240991);(-122.62169596989197,38.09357090877838);(-122.62175537105313,38.09352369410614);(-122.62184337028113,38.09350331214359) l(r:p7udt2933-p7udt2958);(-122.61963864081753,38.094985463046065);(-122.61973073689224,38.094931317663324);(-122.61982300555614,38.094886120086066);(-122.61991371967326,38.09483276989038);(-122.61999324876017,38.09477931806788);(-122.62010346057127,38.09475046655019);(-122.62019483494502,38.09472372379569);(-122.62029405143144,38.094688456105494);(-122.62038397594773,38.09465318480597);(-122.6204882507156,38.09462599450174);(-122.62057817506302,38.094590723052335);(-122.62068601183019,38.09457209427771) l(r:p7udt2933-p7udt2966);(-122.61926692714546,38.095588126716365);(-122.61925580139997,38.0954687395975);(-122.61926781365177,38.09535161334669);(-122.61929094972335,38.09528859936588);(-122.61931487504656,38.095213410658566);(-122.6193736330176,38.09514843809817);(-122.61946759606082,38.09509269454368);(-122.61956591648438,38.09504614682082) l(r:p7udt2277-p7udt2966);(-122.62019828017245,38.096575715231786);(-122.62022031996463,38.09652349717167);(-122.62021995317696,38.09648128253332);(-122.6202090643722,38.09644738242647);(-122.6201759844958,38.09639504398426);(-122.6201206719283,38.09634252814817);(-122.62004319772895,38.09629877829188);(-122.61996285525927,38.096243951273394);(-122.61988404758401,38.09619912383669);(-122.61980370534265,38.09614429670965);(-122.61972489787199,38.09609946916652);(-122.61963372869971,38.09604497409321);(-122.61955434409342,38.09599977735904);(-122.6194814597425,38.09593813120045);(-122.61942467098442,38.09589288377007);(-122.61936945521185,38.09583408755132);(-122.619335225957,38.09577945949391);(-122.61930043725941,38.095658151023805) l(r:p7udt2277-p7udt2951);(-122.61979382813225,38.09728203515537);(-122.6198168709914,38.09723704619606);(-122.61985131850726,38.09719209412734);(-122.6198858126643,38.09713812949601);(-122.61992224807388,38.09707917178473);(-122.61996868708565,38.09703362762284);(-122.62000187770442,38.09698125832757);(-122.6200241872811,38.09691107354536);(-122.62004734640303,38.09683026487136);(-122.62007057560386,38.096749225646356);(-122.6201175104892,38.096675200283734);(-122.62017358852201,38.0966253359403) l(r:p7udt2269-p7udt2951);(-122.61968839788288,38.09783057202324);(-122.61970023669923,38.09774939753232);(-122.61971209486384,38.09765940737762);(-122.61972390587037,38.09758712289242);(-122.61973568423815,38.09751505939022);(-122.61975877344514,38.09744415037506);(-122.61977059845262,38.0973630743158) l(r:p7udt2269-p7udt2274);(-122.61961488503405,38.09883015901603);(-122.61962657537609,38.09876731504659);(-122.61963830732206,38.098704264119434);(-122.61965011940944,38.098614553138994);(-122.61965052530924,38.09852532424278);(-122.61965094574106,38.098444211295906);(-122.61967422261655,38.098354159626695);(-122.61967468973096,38.098264034127226);(-122.61966370529326,38.09818288426298);(-122.61967563849046,38.098091899075314);(-122.6196759976402,38.098011682721314);(-122.61968786954499,38.09792159412864) l(r:p7udt2259-p7udt2274);(-122.61868535493295,38.10017615590697);(-122.6187430557257,38.10010384178469);(-122.6188002023797,38.100023312671624);(-122.61885790293829,38.09995099848981);(-122.61891504934627,38.0998704693175);(-122.61897274967068,38.09979815507618);(-122.61902989583263,38.09971762584462);(-122.61907548124523,38.099637375889884);(-122.61913363720524,38.09956434649322);(-122.61920073009182,38.09948822636771);(-122.61925812941395,38.099416310772284);(-122.61930562830929,38.09933097341963);(-122.61936332782163,38.099258658975586);(-122.61942047313138,38.09917812954218);(-122.61947817240956,38.099105815038634);(-122.61953531747328,38.099025285546006);(-122.61955754989114,38.09897280821624);(-122.61958082646736,38.09892732290743);(-122.61961444185862,38.098885715461876) l(r:p7udt2259-p7udt3903);(-122.61800634108069,38.10131387213631);(-122.61790481478914,38.10133140793858);(-122.61779964462943,38.1013402932183);(-122.61769690276697,38.10135798438155);(-122.61759416085565,38.10137567545467);(-122.61749141889553,38.10139336643761);(-122.61743467417097,38.10133910552867);(-122.61749212366453,38.10125817829237);(-122.61753816772934,38.10117721390392);(-122.61759557000943,38.10110529915698);(-122.61764151993165,38.10104235981202);(-122.61769882808709,38.10098847009936);(-122.61774477784708,38.10092553071191);(-122.61781353803373,38.10086266549931);(-122.61787075204771,38.1008268007881);(-122.61792796600581,38.10079093604852);(-122.6179737277472,38.1007640467453);(-122.61801438392612,38.100738092322686);(-122.61810581343022,38.10070233871892);(-122.61819829384362,38.1006752235055);(-122.61824291062891,38.1006577207234);(-122.61830089687919,38.10063957682627);(-122.61835431806841,38.10059668199951);(-122.61840012640495,38.10056077998275);(-122.61842097568623,38.100527139238466);(-122.61845646287152,38.100489826664734);(-122.61851305943358,38.10040992681008);(-122.6185707605812,38.10033761277715);(-122.61863785476287,38.10026149298991) l(r:p7udt2260-p7udt2263);(-122.62179527332432,38.09894710018707);(-122.62188511609779,38.098956202520434);(-122.62196196963912,38.09894745808045);(-122.6220418507866,38.09893870234342);(-122.62212173191547,38.09892994655196);(-122.62222594351233,38.09893920374857) l(r:p7udt2263-p7udt2265);(-122.62054191238236,38.0984696583677);(-122.62170649759041,38.09892948741349) l(r:p7udt2265-p7udt2297);(-122.62014986145006,38.09911892761034);(-122.62018389623857,38.09904077339128);(-122.62020766704973,38.09894813344073);(-122.6202306840758,38.09886772817967);(-122.62028990502826,38.0988015472609);(-122.62033497126431,38.098730799197675);(-122.6203932488106,38.098666690649715);(-122.62043856915268,38.09860451511705);(-122.62050584566457,38.09853710235342) l(r:p7udt2285-p7udt2297);(-122.61997345387576,38.10004852002741);(-122.61998531210097,38.09995852988056);(-122.61999715095236,38.0998773553892);(-122.6200089761115,38.09979627934022);(-122.62003240871245,38.099705455874684);(-122.62005540823604,38.099626183487246);(-122.62006723328805,38.09954510742837);(-122.62007910497687,38.09945501881846);(-122.62009121168312,38.09937198853632);(-122.62010282985219,38.099291871644226);(-122.62012603154311,38.09920281494103) l(r:p7udt2285-p7udt3871);(-122.62012363778692,38.10105849808087);(-122.62002843529429,38.100974923200745);(-122.62001469450199,38.10089796017397);(-122.62001503228349,38.10081572910289);(-122.62000405459305,38.100763099096696);(-122.62000423381092,38.10069852911536);(-122.620004560535,38.1006354412887);(-122.61998220579689,38.10056438530019);(-122.61995999468174,38.10048403642679);(-122.61994875561398,38.10042945043859);(-122.6199376377247,38.10036611850258);(-122.61993790315874,38.10029274318826);(-122.61993832329955,38.100211630263146);(-122.61997295886466,38.100130627998865) l(r:p7udt2262-p7udt3883);(-122.6214322970425,38.10052742580151);(-122.62148992747869,38.10045520470033);(-122.62155928119776,38.10038269559828);(-122.62163718035083,38.10031625248801);(-122.62170598311157,38.100244372421486);(-122.62176611007771,38.10017561169978);(-122.62183444553635,38.100104187818964);(-122.62197292884068,38.09999551570599);(-122.62204328929246,38.099958565166595);(-122.622135944677,38.09992225017498);(-122.62221448016565,38.09987816646776);(-122.6223124515164,38.09985877724586) l(r:p7udt3868-p7udt3883);(-122.62174612138764,38.101307999984364);(-122.6216410933358,38.101289421832206);(-122.62154965195967,38.10127104748716);(-122.62148454276854,38.10116295450045);(-122.62151917708843,38.1010819517869);(-122.6215081902138,38.101000802121035);(-122.62146275508186,38.10096460497195);(-122.62142872526267,38.10092844455086);(-122.62139474198145,38.100883271572116);(-122.62135156012337,38.10085248925829);(-122.62133832832508,38.10079444449278);(-122.6213385063691,38.100729874503564);(-122.62135035837923,38.100665549223066);(-122.62139623011339,38.10059487003586) l(r:p7udt3868-p7udt3896);(-122.62191729418245,38.10129052548213);(-122.62199869751605,38.101299890657366);(-122.62208969551644,38.10130917506731);(-122.62216284628609,38.10134690517906);(-122.62222991605614,38.101373596731435);(-122.62225875795198,38.10142681413973);(-122.62229278841133,38.101462974320725);(-122.62231522797656,38.10153514802403);(-122.62233771397523,38.10159830917473) l(r:p7udt3868-p7udt3888);(-122.62047021664662,38.10101547953154);(-122.62055005360862,38.10101573737121);(-122.6206412958522,38.1010160319787);(-122.62071956598551,38.101007362529664);(-122.62082359404391,38.10105267116899);(-122.62092610193596,38.101080039999815);(-122.62102856335537,38.10111642128853);(-122.62114247671532,38.10114382671463);(-122.62124863566548,38.101162656840565);(-122.62135212119972,38.10119935543673);(-122.62145360565896,38.10122640622103);(-122.62155976481067,38.10124523606666);(-122.62164923543268,38.10126316276993);(-122.62175120688477,38.1012814075012);(-122.62182600513194,38.10129924441124) l(r:p7udt3871-p7udt3888);(-122.62027632688594,38.101014853123644);(-122.62037897440554,38.101015184791194) l(r:p7udt3871-p7udt3891);(-122.6197627623771,38.10107628126169);(-122.61986666919441,38.10107667257601);(-122.61994220529577,38.101059183170214);(-122.62003390841785,38.10104137666969);(-122.62011181271268,38.10101459311631);(-122.62018503799445,38.10102357077906) l(r:p7udt3878-p7udt3891);(-122.61934086022242,38.10105688986053);(-122.61944472026258,38.101066294093265);(-122.61954610862682,38.10106656728654);(-122.61964875621007,38.101066899592354) l(r:p7udt3878-p7udt3903);(-122.61820813631388,38.101269734239935);(-122.61831335320959,38.101251836049705);(-122.61841618852094,38.10121611925725);(-122.6185189768746,38.101189414918366);(-122.61861820292334,38.10115414873257);(-122.61872099111797,38.101127444215834);(-122.61882377923848,38.10110073960866);(-122.61892656728482,38.10107403491106);(-122.61901874466115,38.10105611501256);(-122.6191241598082,38.1010561876532);(-122.61923816588723,38.10106556983108) l(r:p7udt3903-p7udt4905);(-122.6172533698871,38.10154941218537);(-122.61731986881412,38.10148293482647);(-122.61738867688659,38.10141105733042);(-122.61748899059718,38.10138456045571);(-122.61759173254553,38.101366869474795);(-122.61769447444505,38.10134917840371);(-122.61779721629576,38.10133148724249);(-122.61789752975274,38.1013049900173);(-122.61800027149401,38.101287298677796);(-122.61810782301511,38.10129623173131) l(r:p7udt4905-p7udt4927);(-122.61708820850274,38.102451010041435);(-122.61706424736303,38.102383371548044);(-122.61700754924249,38.1023200978986);(-122.6169526936122,38.10227084226536);(-122.61691805316015,38.10221568442589);(-122.61688449016346,38.10217111792078);(-122.61684990575672,38.10210682957373);(-122.61682689723322,38.10196801385127);(-122.61684994340266,38.101923025527164);(-122.61687303660514,38.10186902465652);(-122.61691884646126,38.1018331232331);(-122.616953297927,38.10178817207006);(-122.6170333709054,38.101743369594395);(-122.61709477298928,38.10169158247861);(-122.6171398164771,38.101656229276514);(-122.61719365763481,38.10162672685009) l(r:p7udt4086-p7udt4103);(-122.61541388735533,38.103909242485194);(-122.61543734066593,38.103818321592776);(-122.61534250816662,38.10377025536022);(-122.61526193154077,38.103800564525834);(-122.6151591383596,38.103827265977785);(-122.61511850465386,38.10374011687344);(-122.61509607152404,38.10366794185699);(-122.61506246569303,38.10357810872942);(-122.61503961252555,38.103494329854364) l(r:p7udt4886-p7udt4904) l(r:p7udt3855-p7udt3864);(-122.62476840390904,38.10655178861684);(-122.62465100973351,38.106454550594755);(-122.62452600358094,38.10636402429872);(-122.62438959163427,38.106273461408534);(-122.62427603836117,38.10617395876569);(-122.62423064483701,38.1061287501522);(-122.62415117167335,38.1060563944433);(-122.62417499927675,38.10597250638176);(-122.62420903302795,38.1058943510822) l(r:p7udt3855-p7udt3886);(-122.62313444955772,38.10667510399716);(-122.62322794941457,38.10670292518193);(-122.62333190502152,38.10674888226201);(-122.62342479663624,38.10681336460132);(-122.62351724329426,38.10691428216005);(-122.62355343129302,38.10695574987018);(-122.62364495838447,38.10690196693899);(-122.62372494046625,38.106875185031974);(-122.6238505010431,38.10685756167899);(-122.62402159393875,38.10685810927541);(-122.62416982827858,38.1068675961989);(-122.62431806265828,38.106877082935576);(-122.62453464201005,38.10690481327896);(-122.62470587335241,38.1068783222538);(-122.62492286758952,38.10682493900291);(-122.62492351272043,38.106698763409256);(-122.62487830281749,38.10661750487597) l(r:p7udt3857-p7udt3886);(-122.62240256418026,38.106726739822584);(-122.6226516442329,38.106691634628454);(-122.62273048593862,38.10667406382171);(-122.62280215089571,38.10665592175326);(-122.62286711753437,38.10662955472675);(-122.62297319698028,38.106665483414254) l(r:p7udt3857-p7udt3866);(-122.62133066336328,38.106669214111236);(-122.62143327229734,38.10667855743801);(-122.62153583476147,38.10669691321428);(-122.62163844376192,38.10670625636217);(-122.62174100631756,38.10672461195975);(-122.62180948979787,38.10671581971699);(-122.62191335817823,38.10672522180241);(-122.62200334822029,38.10672545621308);(-122.62210600375045,38.106725786413634);(-122.62219725311196,38.10672607984996);(-122.62228845607042,38.10673538575516) l(r:p7udt3866-p7udt3897);(-122.62038698099997,38.10651312838409);(-122.62048978016985,38.10653152543604);(-122.62060351112015,38.10654987850001);(-122.62070631039735,38.106568275362946);(-122.6208200414605,38.106586628217805);(-122.62092036761274,38.106604802293916);(-122.6210229298418,38.1066231585169);(-122.62112549212293,38.106641514650605);(-122.62122810099063,38.10665085815625) l(r:p7udt3863-p7udt3876);(-122.62460564494265,38.1047152253587);(-122.6245796070741,38.10480499999782);(-122.6245449743336,38.10488600357059);(-122.62452174741581,38.10496704358022);(-122.6244979800307,38.105059684326854);(-122.62446384136467,38.10513809967276) l(r:p7udt3881-p7udt3890) l(r:p7udt3881-p7udt3895);(-122.62764690495418,38.103797695651735);(-122.6277376245048,38.10374433952461);(-122.62782989941694,38.10369913575985);(-122.62792737986062,38.10366202374976) l(r:p7udt3895-p7udt3900);(-122.62746996198571,38.103894904497096);(-122.62755543041519,38.103842469214435) l(r:p7udt3861-p7udt3900);(-122.62710798840328,38.10408519265689);(-122.62719549155214,38.10403823709869);(-122.62728696655356,38.10399346382012);(-122.62737844144368,38.10394869046939) l(r:p7udt3860-p7udt3861);(-122.62702925493122,38.104138219131826) l(r:p7udt3860-p7udt3887);(-122.62644345488185,38.1046958642377);(-122.6264999474897,38.104651531165096);(-122.62661113746104,38.10455911786297);(-122.62664563017164,38.104505151307066);(-122.62669152865371,38.10445122097783);(-122.6267374270681,38.104397290629834);(-122.62677386064345,38.104338330856976);(-122.62683189666255,38.104283668580585);(-122.62687760406685,38.10423888986389);(-122.62694378578256,38.10419065402538) l(r:p7udt3863-p7udt3887);(-122.62460845600938,38.10470649074344);(-122.62470539442708,38.1047423129795);(-122.62481936089907,38.10476070231533);(-122.62492192155791,38.104779055132376);(-122.6250244822688,38.10479740786009);(-122.6251384489088,38.10481579688714);(-122.62524100972671,38.10483414942629);(-122.62534366264858,38.10483447678456);(-122.62545767544064,38.104843852956705);(-122.62556032837861,38.10484418012576);(-122.6256743412152,38.104853556087896);(-122.62577699416929,38.104853883067726);(-122.62598230008246,38.10485453675838);(-122.62606218721041,38.10484577832802);(-122.62615211104793,38.10482810868153);(-122.62622900833327,38.104801974363134);(-122.62630898719043,38.10477519067077);(-122.626382056824,38.1047476562336) l(r:p7udt3863-p7udt3874);(-122.62358914570103,38.104441323555);(-122.62366880149548,38.10447762947354);(-122.62375990941742,38.10450495931579);(-122.62383956536615,38.104541265118975);(-122.62391926759454,38.104568558323585);(-122.62402178156555,38.10459592446911);(-122.62412434178903,38.104614277980595);(-122.6242154500529,38.104641607470754);(-122.624320529719,38.10465117079471);(-122.62442417525948,38.10467878809016);(-122.62451167923463,38.10470564332836) l(r:p7udt3854-p7udt3874);(-122.62301975542447,38.1040298963735);(-122.62308578762925,38.10408184202775);(-122.62314258495564,38.10412708767075);(-122.62320264400779,38.10418772937508);(-122.62325939520717,38.10424198750615);(-122.62333895807836,38.10429631873662);(-122.62342992687007,38.10435068646741);(-122.62350944373517,38.10441403012723) l(r:p7udt3854-p7udt3894);(-122.62291121699926,38.10393542506471);(-122.62284094645882,38.103812308746356);(-122.62280661626698,38.10374838705042);(-122.62277295663694,38.103694683158);(-122.62274971234449,38.10362996505465);(-122.62271614987182,38.103558444334794);(-122.6226933072727,38.10347604730236);(-122.62267093451264,38.103404104679164);(-122.6226710687286,38.10331179226921) l(r:p7udt3854-p7udt3865);(-122.62202939697725,38.10429588769196);(-122.62208455298938,38.10424722746693);(-122.62214190684105,38.10418432306925);(-122.62222827936253,38.104140488823475);(-122.62231881110692,38.10409613483659);(-122.62241118912321,38.1040599625068);(-122.62250262066682,38.10402420551254);(-122.62260506497293,38.1039796538117);(-122.62269693557734,38.103943715389);(-122.6227874667976,38.10389936103678);(-122.62293601102608,38.10389883574816);(-122.62297559373324,38.10397970912071) l(r:p7udt3865-p7udt3872);(-122.62175173665301,38.10475702995993);(-122.621798180179,38.10467525489356);(-122.62183381776352,38.10459059496656);(-122.62189026308373,38.104513322788954);(-122.62192449665285,38.10443312905223);(-122.62198129723268,38.10436406032643) l(r:p7udt3872-p7udt3898);(-122.62167168394859,38.104917820148586);(-122.62172790668923,38.10484091753495) l(r:p7udt3889-p7udt3898);(-122.62163586949166,38.104993836957526);(-122.62038182685795,38.104872627130675) l(r:p7udt3875-p7udt3898);(-122.62134777785433,38.10564835937203);(-122.6213710173405,38.105593984032076);(-122.62144121326475,38.10551094671619);(-122.62162488664923,38.10499140485511) l(r:p7udt3875-p7udt3897);(-122.62038926365874,38.10650429809039);(-122.62049230002299,38.106522735504754);(-122.6206057937541,38.106541048202025);(-122.6207088302259,38.10655948542694);(-122.6208223240696,38.10657779791555);(-122.62084159044072,38.106511571163125);(-122.62088791379067,38.10642109657949);(-122.62093312430935,38.106343475469885);(-122.62096876371656,38.10625881583883);(-122.62100299912139,38.106178622404016);(-122.62103757731323,38.10608886732215);(-122.62108412811841,38.10600683262048);(-122.62111836327749,38.10592663914731);(-122.6211669472436,38.10588722216715);(-122.62120028697782,38.105852244214205);(-122.62125861431757,38.1058064034672);(-122.6213036258754,38.10576222784699);(-122.62133559457693,38.10571388491816) l(r:p7udt3877-p7udt3897);(-122.61896233781727,38.10629221251859);(-122.61906513631017,38.10631061081411);(-122.61917781204131,38.106319818855525);(-122.61929288082506,38.106338347413825);(-122.61939567946789,38.106356745420804);(-122.61950712710453,38.10638392981773);(-122.61960968866119,38.10640228727152);(-122.61972365638943,38.10642068155156);(-122.61983762417569,38.10643907572127);(-122.61994270581079,38.106448642967976);(-122.62005643649144,38.10646699656035);(-122.62015806826228,38.10647621794409);(-122.62027073028412,38.1065035650375) l(r:p7udt3877-p7udt4931);(-122.61701191774836,38.10585308725058);(-122.61711457199824,38.105853421805506);(-122.61721722624982,38.105853756270754);(-122.61731983348974,38.105863103182195);(-122.61743389378717,38.105863474605535);(-122.6178099171579,38.105936799807566);(-122.61790097772406,38.10597314672031);(-122.61799203838093,38.10600949356274);(-122.61809450518753,38.10604587740822);(-122.61818556603151,38.10608222410119);(-122.61828803303683,38.106118607778505);(-122.61837909406795,38.10615495432204);(-122.61848623655162,38.106183117112565);(-122.61857776536021,38.10621963972611);(-122.61865554994755,38.106237312857374);(-122.618735298895,38.10625559703338);(-122.6188460876489,38.10628264776496) l(r:p7udt4909-p7udt4931);(-122.61549459656275,38.106239913338094);(-122.61554309680477,38.10617128290275);(-122.61559725042368,38.106097162525224);(-122.61564229740836,38.10601985609429);(-122.61567689328726,38.10594786775033);(-122.61573397069895,38.10593904173954);(-122.61572289512723,38.10587591669839);(-122.61571265347416,38.10578045371591);(-122.61585009268865,38.10578626086086);(-122.61596398107886,38.10579563544186);(-122.61606671265689,38.10580499424935);(-122.61617951355153,38.10580530765107);(-122.61628337987975,38.1058147147042);(-122.61639608653847,38.105833052967874);(-122.61649869363872,38.1058424005957);(-122.61660134786747,38.105842735598934);(-122.61670400209795,38.10584307051247);(-122.6168066563301,38.10584340533633);(-122.61690926350018,38.10585275260596) l(r:p7udt4837-p7udt4909);(-122.61532198622085,38.106981076485994);(-122.61531834374883,38.106893026641664);(-122.61531876909702,38.106811913851665);(-122.61531919444352,38.10673080106054);(-122.61533110091948,38.10664873057108);(-122.61535421628534,38.10657770003033);(-122.6153659532056,38.10651464963984);(-122.61536642518165,38.10644143179826);(-122.61537818944795,38.106378224794355);(-122.61544683548283,38.10631663525259) l(r:p7udt4837-p7udt4933);(-122.61531338122703,38.10783934244175);(-122.61532521291679,38.1077582670085);(-122.61531423196156,38.10767711688692);(-122.61530329829392,38.10758695423225);(-122.61530372366936,38.10750584145198);(-122.61529284194246,38.107416575759636);(-122.61530464847432,38.10735135423046);(-122.61530485799545,38.107289540699135);(-122.61530518883828,38.10722645297805);(-122.61534067923394,38.10715993009375);(-122.61536333346606,38.10709798974567);(-122.6153975255402,38.10701946346564) l(r:p7udt4896-p7udt4933);(-122.61532348150482,38.108101738666114);(-122.61532388958291,38.10801061786646);(-122.61531297313866,38.10793046324396) l(r:p7udt4896-p7udt4923);(-122.61542238968066,38.10894241137289);(-122.61539957414399,38.10886925655493);(-122.61538832838936,38.1087869149758);(-122.61536613570081,38.10869748773616);(-122.6153436649681,38.10861652305293);(-122.61533270585342,38.10852616335396);(-122.61533307466208,38.10843424412551);(-122.61533356456296,38.10835412685252);(-122.61533392537122,38.10827201858676);(-122.61534580437197,38.10818193062747) l(r:p7udt4859-p7udt4901);(-122.60786478325038,38.10359678225698);(-122.60774964012435,38.10358734051863);(-122.60763671816296,38.10358700823094) l(r:p7udt4835-p7udt4859);(-122.60895444469932,38.10366307329309);(-122.60885188971297,38.103644706565184);(-122.60864032041279,38.10360838056457);(-122.60853771749545,38.10359902609316);(-122.60842366083848,38.10359864605317);(-122.60830955606725,38.10360727843222);(-122.60819441286372,38.103597837129314);(-122.60808149088045,38.10359750526897);(-122.6079788880538,38.10358815031004) l(r:p7udt4835-p7udt4899);(-122.60948323649512,38.103818476892165);(-122.60939213483742,38.103791136010024);(-122.60930790190478,38.10377210186528);(-122.6092270906224,38.10373532361709);(-122.60913763989662,38.10370860166648);(-122.60906204197723,38.103663860564644) l(r:p7udt4899-p7udt4924);(-122.60989103077027,38.1039278087506);(-122.60979080695677,38.10389160022157);(-122.60967689367462,38.10386418380314);(-122.60957438618267,38.10383680517318) l(r:p7udt4900-p7udt4924);(-122.61052808990748,38.10407395337076);(-122.61043730636813,38.104055704719485);(-122.61032620532188,38.10401955466029);(-122.61022369736608,38.10399217659503);(-122.61010646047546,38.10397338215913);(-122.6100072759657,38.103937382341556) l(r:p7udt4898-p7udt4900);(-122.61088460828618,38.104111532084445);(-122.61079598658986,38.10413800754643);(-122.61069811452958,38.10411034050147);(-122.61061101382786,38.10408358766522) l(r:p7udt4854-p7udt4898);(-122.61098730781342,38.10410285944756) l(r:p7udt4854-p7udt4914);(-122.61218471939334,38.10425387073421);(-122.61216202767554,38.104171786689946);(-122.61213938370918,38.10408864260675) l(r:p7udt4895-p7udt4914);(-122.61304248126113,38.10432557002618);(-122.61294001638419,38.10435218820938);(-122.61282548597512,38.10437893135259);(-122.61272193464866,38.104396780957956);(-122.61260530611696,38.10441463649644);(-122.61250607279835,38.10444989754576);(-122.61239154208191,38.10447664026388);(-122.61228907676221,38.10450325787633);(-122.61224089083247,38.1044162845362);(-122.61221819900305,38.104334200504454) l(r:p7udt4895-p7udt4925);(-122.6130146755031,38.10470243640157);(-122.61304903893895,38.10462339049092);(-122.61307232593155,38.104533340278195);(-122.61310697114082,38.10445234014893);(-122.6131302104808,38.10437130245514);(-122.6131534497687,38.10429026475537) l(r:p7udt4846-p7udt4925);(-122.61286378379086,38.105197637255245);(-122.61289874797734,38.10511574331285);(-122.61293279330857,38.105037591330195);(-122.61295608057952,38.10494754114832);(-122.61296819630013,38.1048645117258);(-122.61300255986339,38.10478546583161) l(r:p7udt4098-p7udt4846);(-122.61356857835335,38.10543218157702);(-122.6135330500726,38.105497302139376);(-122.61349849979396,38.10556027731521);(-122.6133750109186,38.1055347451281);(-122.61327249968981,38.105507369712214);(-122.61316994101567,38.10548900673922);(-122.61306738239361,38.105470643676924);(-122.61297118144975,38.10544233728331);(-122.61291352865882,38.105414776426244);(-122.61286458259069,38.10535781006249);(-122.61285166789389,38.10528066666137) l(r:p7udt4090-p7udt4098);(-122.61442721415307,38.10542086264018);(-122.6136812926811,38.10524698448655);(-122.6136364302657,38.105306513631035);(-122.61356981446272,38.10536414486915) l(r:p7udt4090-p7udt4112);(-122.6153115859035,38.10576636342411);(-122.61521019109131,38.105766086542616);(-122.61509504355566,38.10575665198252);(-122.61499231217651,38.10574729232643);(-122.61491372941093,38.105747089934454);(-122.61488026628128,38.10566805196044);(-122.61492698922568,38.10558124459497);(-122.61498515405971,38.10550821737266);(-122.6149497449944,38.10540472578932);(-122.61484728066378,38.10536833921053);(-122.61476435471062,38.105358707897125);(-122.61470087909377,38.10536773660339);(-122.6146441640466,38.10537651090924);(-122.61453917797503,38.1053943669461) l(r:p7udt3902-p7udt4885);(-122.61734197370608,38.10333082375857);(-122.61744471841824,38.10331313292673);(-122.61755910631928,38.1032954403029);(-122.61766161332429,38.10327778810572);(-122.61776678627899,38.10326890296732);(-122.61785812518076,38.103251174669914);(-122.61796082273042,38.10324249592582);(-122.61805216155365,38.10322476747707);(-122.61815485904478,38.103216088563045);(-122.61824619778947,38.103198359962946);(-122.61836034771855,38.10318070538313) l(r:p7udt4087-p7udt4120);(-122.61483255706632,38.10165506186716);(-122.61475571261562,38.10168150126378);(-122.614675780321,38.10169926456238);(-122.61459437364881,38.10170826646674);(-122.61452615625619,38.1017170233471);(-122.61445589564917,38.10170790276727) l(r:p7udt4111-p7udt4120);(-122.61506945241744,38.1015180079697);(-122.61502701584973,38.10154754678661);(-122.6149468472773,38.10161037297093);(-122.61488972584148,38.10162821116318) l(r:p7udt4102-p7udt4111);(-122.61518792537919,38.10127044783958);(-122.61515291451687,38.10135252996606);(-122.61513000059618,38.101441499339636);(-122.61511828490596,38.10147793011107) l(r:p7udt4102-p7udt4916);(-122.61548594065158,38.10052737190125);(-122.61544156628582,38.100606231570076);(-122.615396522463,38.10068353801193);(-122.61536070362493,38.10075955293939);(-122.61532668767015,38.100839375317285);(-122.61528023941752,38.10092114785364);(-122.61525772776105,38.1010093082385);(-122.61523444076998,38.101090533843575);(-122.61520003410463,38.10117859300318) l(r:p7udt4851-p7udt4916);(-122.61559003158173,38.10043171476822);(-122.61553451849834,38.10046768488536) l(r:p7udt3498-p7udt7094)_s0 l(r:p7udt3498-p7udt7094)_s1 l(r:p7udt3498-p7udt4037)_s0 l(r:p7udt3498-p7udt4037)_s1 l(r:p7udt4037-p7udt6035);(-122.61424185172675,38.092523257354266);(-122.61470610001275,38.0909836070252) l(r:p7udt5975-p7udt6035)_s0 l(r:p7udt5975-p7udt6035)_s1 l(r:p7udt5975-p7udt6017)_s0 l(r:p7udt5975-p7udt6017)_s1 l(r:p7udt4851-p7udt6017)_s0 l(r:p7udt4851-p7udt6017)_s1 l(r:p7udt4108-p7udt4851);(-122.61625056137089,38.10095581434887);(-122.61625087716934,38.10088461060686);(-122.61626265950194,38.1008125475142);(-122.61624030834113,38.10074149083495);(-122.61624060321321,38.10066827233777);(-122.61621847640721,38.10057984063975);(-122.61619587803978,38.10049688502244) l(r:p7udt4089-p7udt4108);(-122.61640324361831,38.10206572648672);(-122.616298266426,38.1020379278785);(-122.61621564790703,38.101991378328194);(-122.61617853066859,38.101943496680335);(-122.6161445501679,38.10189832220566);(-122.61609871570367,38.10181608162236);(-122.61609798762514,38.10176735536706);(-122.61611008553052,38.10164057503368);(-122.61612192970729,38.10156803640766);(-122.61615647620751,38.101488152893324);(-122.6161683530293,38.10139806473557);(-122.61618046055062,38.10130620979371);(-122.61620374261736,38.10121615888336);(-122.61621541642873,38.10113585499868);(-122.61625002871301,38.10104683636755) l(r:p7udt4089-p7udt4927);(-122.61707828241953,38.10245544924298);(-122.6170537455351,38.10238688759691);(-122.61699824087749,38.102325306080495);(-122.61694317758632,38.10227581058713);(-122.61690783075989,38.102219681698045);(-122.61687468585329,38.10217572294681);(-122.61683940395835,38.102110345603776);(-122.61681601890388,38.10204363020949);(-122.61672503743027,38.10205775347051);(-122.61662234140319,38.1020664311443);(-122.61651843264977,38.10206614719991) l(r:p7udt4885-p7udt4927);(-122.61733954536355,38.10332201777624);(-122.61744229006396,38.103304326946436);(-122.61755691555862,38.10328659549993);(-122.61765918494504,38.10326898212973);(-122.61761965168988,38.10322691105672);(-122.61758576366299,38.103163711920246);(-122.61754140892616,38.10311094046796);(-122.61750676763877,38.103055782805484);(-122.61746213360655,38.10300253008822);(-122.61740611165847,38.10294904197377);(-122.61736004738405,38.1028940453734);(-122.61730402559299,38.102840557210115);(-122.61727888308437,38.10278062937276);(-122.61723359001422,38.10271739298274);(-122.61719965552967,38.10266320627312);(-122.6171550270781,38.10258479669323);(-122.61714342737248,38.102509807282665) l(r:p7udt4084-p7udt4885);(-122.61642772937984,38.10351727489189);(-122.61653370684043,38.10350822800482);(-122.6166479047421,38.103481562555544);(-122.61673924417198,38.103463835131386);(-122.61684203627955,38.10343713228968);(-122.61693337560844,38.103419404713925);(-122.61703612054032,38.10340171424083);(-122.61713400878433,38.103366411704876);(-122.6172416572764,38.10335732048454) l(r:p7udt4084-p7udt4103);(-122.61540254293757,38.10390830855772);(-122.61542616571292,38.103816517484304);(-122.6154490493213,38.10372910373354);(-122.61557235458358,38.10370354095441);(-122.61567377598203,38.10367712213413);(-122.61577765542167,38.103659188591465);(-122.61589428200574,38.10364132989132);(-122.61599702749167,38.10362364033048);(-122.61611122576393,38.10359697540533);(-122.61621045621864,38.10356171129077);(-122.6163167635939,38.10355258327427) l(r:p7udt4095-p7udt4103);(-122.61526205071905,38.10468082337652);(-122.61527346816881,38.10460166623149);(-122.61529706399101,38.104510072085255);(-122.6153085835676,38.10443054008264);(-122.61533217928914,38.10433894592617);(-122.61535502959805,38.10426044629502);(-122.61536689420878,38.10417045671266);(-122.6153903476638,38.10407953583441);(-122.6154133536067,38.10400026444866) l(r:p7udt4095-p7udt4112);(-122.61531027934917,38.10577531671112);(-122.6152101438189,38.10577509907638);(-122.61509386156325,38.105765616070855);(-122.61499100558358,38.105756245609705);(-122.61491368210216,38.105756102467936);(-122.6148691893644,38.10567020155208);(-122.6149165855207,38.105577550099675);(-122.61497550688473,38.105503409045575);(-122.61503062281517,38.10543701095904);(-122.61507530507957,38.1053871118071);(-122.61512125986867,38.105324173519456);(-122.61515576136725,38.105270210390366);(-122.61517899871437,38.105189172291915);(-122.61517953277504,38.105098150349555);(-122.61520299059345,38.10501605463512);(-122.61521461504258,38.1049359383366);(-122.61523805909566,38.10485394105658);(-122.61526106162057,38.10476584460365) l(r:p7udt4870-p7udt4884);(-122.61390133640339,38.107252050936225);(-122.61385484940507,38.10732486568861);(-122.61382073358588,38.10739598902161);(-122.61377424641537,38.107468803739415);(-122.613866484819,38.10751013973102);(-122.61396890421042,38.107555539694985) l(r:p7udt4884-p7udt4886);(-122.6143166842555,38.10649318478801);(-122.61427063325955,38.10657414780393);(-122.61423481032946,38.10665016229026);(-122.61418898219145,38.10673075580601);(-122.61414293090363,38.106811718767055);(-122.6140847641901,38.10688474554619);(-122.61405118230397,38.10695512458763);(-122.6140165843503,38.10702711242946);(-122.61397027323369,38.10710857029758);(-122.61392527124674,38.10717686352989) l(r:p7udt4104-p7udt4886);(-122.61457955546771,38.10611989142174);(-122.61452184580628,38.106192203378185);(-122.61446614798648,38.10626835811223);(-122.61443032541051,38.10634437266401);(-122.61436268777155,38.106421234284284) l(r:p7udt4104-p7udt4112);(-122.61530897279451,38.1057842699981);(-122.61521009654649,38.10578411161015);(-122.61509267957054,38.105774580159164);(-122.61498969899034,38.10576519889294);(-122.61491363479337,38.10576511500141);(-122.61484534067817,38.10573785309307);(-122.6147879320502,38.105809766405926);(-122.6147404236567,38.10589510178774);(-122.61468271433192,38.10596741382774);(-122.61462706419562,38.10603455611003) l(r:p7udt4112-p7udt4909);(-122.61548494925819,38.10623510505273);(-122.61553384275344,38.10616601410466);(-122.6155868466115,38.10609346808902);(-122.61563149161015,38.10601697068417);(-122.6156662300575,38.10594466830586);(-122.61573178008246,38.10593019690727);(-122.61571159705748,38.10587715433466);(-122.61570147821568,38.10577864963259);(-122.61563202465759,38.105803517966876);(-122.61552689913874,38.105794051093945);(-122.61541549930594,38.10576675870963) l(r:p7udt4923-p7udt7093);(-122.61595486022054,38.109499774805506);(-122.61593242455352,38.109427600005716);(-122.61588717578857,38.109355350623574);(-122.615799561193,38.109298348311924);(-122.61569965648233,38.10925446374793);(-122.6156296955049,38.109208216718024);(-122.61548126410614,38.10909001536392);(-122.61546692806198,38.10901149404822) l(r:p7udt1212-p7udt7093);(-122.6163779954745,38.110131351599534);(-122.61624803674223,38.110149645860446);(-122.616156783185,38.11014934778151);(-122.61605421728694,38.11013098730211);(-122.61599732537849,38.11010376333331);(-122.61594057508223,38.11004950175324);(-122.61591804488063,38.10999535201274);(-122.61591846961302,38.109914239259965);(-122.61594180201266,38.10981517602148);(-122.61596527590702,38.10968907519081);(-122.61595443553472,38.10958088756436) l(r:p7udt1212-p7uhs5_1247);(-122.61715342202564,38.11012347245831);(-122.61651076768659,38.11007840221488) l(r:p7udt4933lv-p7ulv19353);(-122.61532431494203,38.10792950509188);(-122.61482248296247,38.10791884838559) l(r:p7udt7931lv-p7ulv32773);(-122.605475603695,38.10247121103687);(-122.60527069284677,38.10239842132634) l(r:p7udt7931-p7udt7952);(-122.60525880664672,38.102754512082775);(-122.60531476331096,38.10268697547563);(-122.60537217871399,38.10261506679031);(-122.60541969581398,38.102529735196576);(-122.605475603695,38.10247121103687) l(r:p7udt7882-p7udt7952);(-122.60492464616934,38.103239914513324);(-122.605004388237,38.103136567048594);(-122.60505039872065,38.10306462018811);(-122.60510786318908,38.10298369911244);(-122.60515563284436,38.10290698140416);(-122.60521128921229,38.10283984360637) l(r:p7udt7862-p7udt7882);(-122.6057924713518,38.103580294686736);(-122.60571467481269,38.10355216639053);(-122.60561830377682,38.10350814946788);(-122.60552730088595,38.103462780543836);(-122.60542489247469,38.10341737324968);(-122.60532869721983,38.10338906061981);(-122.60522585170804,38.10334346899748);(-122.60513434462725,38.10329786231213);(-122.6050493798838,38.10325388325266) l(r:p7udt7862-p7udt7928);(-122.60579633174486,38.10361404465328);(-122.60575434823721,38.10366182172824) l(r:p7udt7886-p7udt7928);(-122.60646616402332,38.103888390933214);(-122.60637554911175,38.10385221934206);(-122.60628968936328,38.10379880704406);(-122.60618169862603,38.103761260213346);(-122.60609108401313,38.103725088403685);(-122.60599913858321,38.1036792982367);(-122.60589723421873,38.103634128807464) l(r:p7udt4901-p7udt7886);(-122.6076702215715,38.10365468047704);(-122.60765836894366,38.10371835653109);(-122.60763537561562,38.103788636583715);(-122.60762358431344,38.10386069872615);(-122.6075989642038,38.103919889174264);(-122.6075633876489,38.10398495981423);(-122.60751784767842,38.10403629422235);(-122.60748386194075,38.104098308871606);(-122.6074359473581,38.104155123073014);(-122.60739081800563,38.10421700552426);(-122.60736461541468,38.10428894988405);(-122.60722192239884,38.10424080884415);(-122.60712052114663,38.1041958779214);(-122.6070354868508,38.10415184699132);(-122.60693745431476,38.10411367994699);(-122.60684644985137,38.10406831204074);(-122.60675063041057,38.10401552096702);(-122.60666001520036,38.103979349594404);(-122.60657415509502,38.10392593750348) l(r:p7udt4934lv-p7ulv19385);(-122.61052547999645,38.1051558141905);(-122.61034317690755,38.10511915902149) l(r:p7udt4891-p7udt4934);(-122.61055957571146,38.105068650379906);(-122.61052547999645,38.1051558141905) l(r:p7udt4879-p7udt4891);(-122.6118264952458,38.10504167988222);(-122.61182675536092,38.10497085381714);(-122.61182704148062,38.10491677862848);(-122.61181606908207,38.104864147922314);(-122.61179386958405,38.10480198005543);(-122.61178247970898,38.104737652210204);(-122.61172977680171,38.10470719045417);(-122.61168261810853,38.1046605636209);(-122.61160293230056,38.10468143903692);(-122.61151278256979,38.10470787433259);(-122.61142020021065,38.10472589832276);(-122.61132613795499,38.10473486900282);(-122.61123474790924,38.104761604730754);(-122.61114350110824,38.104761302794195);(-122.61105220652715,38.10477001331768);(-122.61096100751037,38.104760698708525);(-122.61085840266924,38.104751346255085);(-122.61076720370154,38.104742031495746);(-122.61067600475761,38.104732716665715);(-122.61065216215655,38.104816601926714);(-122.61061757189778,38.10490635390657);(-122.61058341850264,38.10498476514232) l(r:p7udt4879-p7udt4914);(-122.61227401543444,38.104409633527645);(-122.61230718623831,38.10448906731592);(-122.61218271989648,38.10452139476971);(-122.61209133042374,38.104548131167974);(-122.61198853506271,38.104574829830916);(-122.61188573962754,38.10460152840339);(-122.6117943499485,38.10462826456917);(-122.61175623293205,38.10470979357661);(-122.61180810249547,38.104722386821365);(-122.61182744562241,38.10479706583169);(-122.61184928782701,38.104857824032415);(-122.61186096440557,38.104913927336945);(-122.61186097301166,38.10497096685321);(-122.61186059155119,38.105043067104276);(-122.61182604005838,38.10510604178661) l(r:p7udt7157lv-p7ulv29364);(-122.63889750033111,38.10413787096155);(-122.63992366758421,38.10421312164632) l(r:p7udt3211lv-p7ulv12518);(-122.64080824577331,38.1052522891477);(-122.64058105372426,38.10506232780472) l(r:p7udt3189lv-p7ulv12456);(-122.64131049766144,38.10750699694342);(-122.64121990832865,38.10737152997808) l(r:p7udt3189lv-p7ulv12530);(-122.64131049766144,38.10750699694342);(-122.6414010873274,38.10764246383746) l(r:p7udt3189-p7udt3211);(-122.64074993605907,38.10551347905225);(-122.64082911622734,38.10564891154195);(-122.64090834080173,38.105775331416574);(-122.64097629190327,38.10587467870551);(-122.6410441990891,38.1059830385143);(-122.64120348748087,38.10606463927566);(-122.6413628202881,38.106137227261726);(-122.64151070325498,38.10621879279308);(-122.64166999268052,38.106300392923856);(-122.64180620614137,38.10643599865884);(-122.64195387026479,38.10656262643308);(-122.64131049766144,38.10750699694342) l(r:p7udt3220lv-p7ulv12560);(-122.6410486529872,38.105072769894704);(-122.64049250031084,38.104512282423286) l(r:p7udt3152lv-p7ulv12375);(-122.64122027096104,38.10496514168964);(-122.64112893542055,38.104982888160244) l(r:p7udt3152-p7udt3220);(-122.64122027096104,38.10496514168964) l(r:p7udt3211-p7udt3220);(-122.64092274655167,38.10516251215616);(-122.6410486529872,38.105072769894704) l(r:p7udt3211-p7udt7197);(-122.63976968347535,38.10537528747056);(-122.63998622024087,38.10541200190647);(-122.64020275722615,38.10544871594419);(-122.64035112352681,38.10543114477207);(-122.64080824577331,38.1052522891477) l(r:p7udt7196-p7udt7197);(-122.63933661060372,38.10530185740412);(-122.63955314692966,38.105338572636434) l(r:p7udt7157-p7udt7196);(-122.6388739789485,38.104282001822874);(-122.63886190766998,38.10441715517152);(-122.63883843049128,38.104552273458154);(-122.63866698757737,38.10462384794084);(-122.63850695020591,38.10469545726168);(-122.63835809627437,38.10481216426274);(-122.63824350404518,38.10491996386494);(-122.63833448474554,38.104974320110635);(-122.63842551000018,38.10501966372729);(-122.63851649095962,38.10507401983244);(-122.6386074720541,38.10512837586731);(-122.63869845328364,38.1051827318319);(-122.63890358297208,38.10521941318599);(-122.63912011883184,38.105256129214524) l(r:p7udt7157-p7udt7175);(-122.63892097726239,38.104002752652384);(-122.63889750033111,38.10413787096155) l(r:p7udt4099-p7udt7175);(-122.63189628308524,38.1014574007253);(-122.63195312907031,38.10149362958954);(-122.63203274066319,38.10153894241874);(-122.63211230716546,38.10159326775189);(-122.63220327917941,38.10164762873159);(-122.63229030275723,38.101695134333404);(-122.63229388999173,38.101774090102374) l(r:p7udt4099-p7udt7150);(-122.63189628308524,38.1014574007253);(-122.63165418773319,38.099699165444434) l(r:p7udt7147-p7udt7150);(-122.63228184435026,38.10191937347629);(-122.63227071780435,38.10184611917822);(-122.63225983869366,38.101776219315745);(-122.63226003512155,38.101701882563056);(-122.6321958223451,38.10165444834217);(-122.63210542778235,38.1016004563727);(-122.63202528382425,38.10154576201861);(-122.63194646304558,38.10150094264509);(-122.6318891229029,38.10146441603027) l(r:p7udt7147-p7udt7165);(-122.63092832674234,38.101779168366136);(-122.63100807398054,38.10179744421707);(-122.63118715724654,38.101851735866326);(-122.6312782647644,38.10187905988884);(-122.63138077778355,38.1019064196213);(-122.63148329087979,38.1019337792646);(-122.63159725474786,38.10195216202151);(-122.63169981323061,38.10197050891977);(-122.63181382243813,38.10197987891033);(-122.63192778646315,38.10199826134732);(-122.6320189396444,38.10201657224028);(-122.6320987326094,38.10202583479598);(-122.63218988586861,38.10204414555663);(-122.63230421142114,38.101990427171806) l(r:p7udt7165-p7udt7185);(-122.62982794706383,38.1017935606385);(-122.62994428432282,38.10178473935914);(-122.63005842932895,38.10176707338473);(-122.63016121430316,38.10174035884607);(-122.6303894132201,38.101723051474565);(-122.63050351263955,38.10171439762241);(-122.63058330507648,38.10172366120501);(-122.6306662290942,38.10173328134963);(-122.63084540263694,38.101769548406125) l(r:p7udt3873-p7udt7185);(-122.62826473607237,38.10172554291652);(-122.62834461953058,38.10171678291067);(-122.62842607075362,38.10171694992402);(-122.62852911861184,38.101708227583345);(-122.62862162159458,38.10170846485722);(-122.62882687317968,38.10171812608671);(-122.62892943062306,38.10173647539849);(-122.62903203368624,38.10174581206665);(-122.62913459122106,38.101764161199746);(-122.62923714880789,38.10178251024353);(-122.62933975197677,38.101791846643444);(-122.62944230965502,38.101810195508534);(-122.62954738598893,38.10181975414537);(-122.62963478670191,38.101810871940046);(-122.62971599414827,38.101820070974334) l(r:p7udt3869-p7udt3873);(-122.62702536994655,38.101604269284515);(-122.62710520760936,38.10160452267373);(-122.62718504527318,38.101604776008706);(-122.62727628831875,38.101605065467965);(-122.62738131829056,38.10162363859197);(-122.62748135638586,38.10165077925694);(-122.62758395913455,38.1016601171881);(-122.62767515651876,38.10166941889105);(-122.62776630820353,38.101687733076446);(-122.62785750564638,38.10169703463809);(-122.62794870311286,38.101706336129084);(-122.62802849520413,38.10171560144489);(-122.62810824163476,38.10173387926002);(-122.62818798810555,38.101752157021174) l(r:p7udt3869-p7udt3899);(-122.62579232919586,38.10160040408845);(-122.62589502355267,38.10159171839038);(-122.62599893173986,38.101591994039616);(-122.62610153420697,38.101601333263915);(-122.626192777237,38.101601623564505);(-122.62629542564733,38.10160195006798);(-122.62640943354887,38.101611325296325);(-122.62651203609823,38.10162066416257);(-122.62661594377224,38.10162104951272);(-122.62671611903914,38.10161235509215);(-122.62682002726469,38.101612630015445);(-122.62692267569777,38.10161295597106) l(r:p7udt3884-p7udt3899);(-122.62559834579207,38.101617811556316);(-122.62568947959188,38.101609103675266) l(r:p7udt3880-p7udt3884);(-122.62358738321377,38.10220051452008);(-122.62368693588552,38.10217138926678);(-122.62377746431775,38.10212703412383);(-122.6238689389902,38.102082263490075);(-122.62396131370846,38.10204608991192);(-122.62405184182137,38.10200173455496);(-122.62413787028567,38.10197360173329);(-122.62421789296191,38.101937806890206);(-122.62430932098343,38.10190204845875);(-122.62438934349866,38.101866253497604);(-122.62446936593614,38.10183045848135);(-122.62456079370253,38.10179469985222);(-122.62466362678155,38.10175897758353);(-122.62473215138166,38.101741171053945);(-122.62481208134474,38.10172340090199);(-122.62488060587624,38.101705594285356);(-122.62495892233657,38.10168790946445);(-122.62502713850778,38.10167914652051);(-122.62510571713781,38.1016614303929);(-122.62519740308751,38.101652668212296);(-122.62529802310588,38.10163525376573);(-122.62539143268792,38.10162624885665);(-122.625496865159,38.10163545843669) l(r:p7udt3879-p7udt3880);(-122.62235181289948,38.10259021355129);(-122.62244399136983,38.10257229097601);(-122.6225470261461,38.10255454212978);(-122.62264074563335,38.1025546285273);(-122.6227206769789,38.10253685980777);(-122.62280060828616,38.1025190910336);(-122.62289194506947,38.10250135880961);(-122.62299117082695,38.102466088937156);(-122.62310569462207,38.10243933584128);(-122.62320924073255,38.10242147705108);(-122.62328514799337,38.1023781211001);(-122.6233531378836,38.102333685764116);(-122.62344042741051,38.10230483849217) l(r:p7udt3862-p7udt3879);(-122.62166887280674,38.102704809748715);(-122.62176036203724,38.10269607060674);(-122.62185034669093,38.10267853434082);(-122.62195455081662,38.10266964297859);(-122.62205607802424,38.10265210368585);(-122.62214712282734,38.102634425580575);(-122.6222525866708,38.102625482880576) l(r:p7udt3862-p7udt3885);(-122.62074370070548,38.102837102129115);(-122.6208600406369,38.102828289859964);(-122.6209741893819,38.10281063273338);(-122.62107693251554,38.10279293871544);(-122.62119103462241,38.102784293922134);(-122.62130299202435,38.10275779173686);(-122.62141714055656,38.102740134178624);(-122.6214976501585,38.10273129641227);(-122.62159055448427,38.10272249236383) l(r:p7udt3858-p7udt3885);(-122.61991020571907,38.10295150920328);(-122.6199985280576,38.10293419742629);(-122.6201018562401,38.1029163971987);(-122.6201966364501,38.10291634395443);(-122.62030074831894,38.10290759813749);(-122.62041270622386,38.10288109680375);(-122.62052791113419,38.102872325925375);(-122.62063174298473,38.10286360377942) l(r:p7udt3853-p7udt3858);(-122.61930552425676,38.10303974337323);(-122.6194091992554,38.10303094663236);(-122.61950829896061,38.103004603606564);(-122.61961104270897,38.102986910874286);(-122.61970445467313,38.102977910500975);(-122.61980750640299,38.10296930671194) l(r:p7udt3853-p7udt3902);(-122.6184606191994,38.1031541031833);(-122.6185609323739,38.10313672246778);(-122.61866610502415,38.10312783652255);(-122.61877001782493,38.10311900151965);(-122.61888318960125,38.10310146315397);(-122.61898569602609,38.103083809797944);(-122.61908960872839,38.103074974511806);(-122.61919356571829,38.103066243647916) l(r:p7udt5942lv-p7ulv24176);(-122.60949730196373,38.099032783248084);(-122.6103306296687,38.098891346483384) l(r:p7udt5942-p7udt5990);(-122.60940076815567,38.09941137578041);(-122.60950322737445,38.09938476063072);(-122.6095773717417,38.09928086359876);(-122.60955341559003,38.099204210963094);(-122.60953108520916,38.09911400978971);(-122.60948646710368,38.09903559730583) l(r:p7udt4864-p7udt5990);(-122.6105082358657,38.09999514476268);(-122.61045314668007,38.099942995354695);(-122.61035126229162,38.099852789974804);(-122.61030624430101,38.09981693366347);(-122.61024403794764,38.09981023178058);(-122.61017397342103,38.099791634094395);(-122.6100865285224,38.09975575567857);(-122.61000678883202,38.09973746566209);(-122.60993218381175,38.0997086662603);(-122.60987575956406,38.099681670159924);(-122.60981678436038,38.09963505547735);(-122.60975999876062,38.09958980342141);(-122.60972431737864,38.099542885489036);(-122.60967980555424,38.099498667351305);(-122.60964436010184,38.09943338081705);(-122.60949998866514,38.09941052835572);(-122.60941112155375,38.09943714318325);(-122.6092898052723,38.09944667756672) l(r:p7udt4864-p7udt4902);(-122.61115251639723,38.101214969051064);(-122.6111066879656,38.101132726543405);(-122.61106149678871,38.10105146271743);(-122.61102731754617,38.10096942498858);(-122.61098251998115,38.10088897277104);(-122.61094777073396,38.1008040830656);(-122.61090262772576,38.10071380663906);(-122.61085743702955,38.100632542731425);(-122.6108122464328,38.100551278805824);(-122.61077846111797,38.10047005264117);(-122.61071238751622,38.10039604030575);(-122.61066719722398,38.100314776322755);(-122.61062200703124,38.10023351232181);(-122.61058766586982,38.10016030528535);(-122.6105638673458,38.100072783012514) l(r:p7udt4848-p7udt4902);(-122.61217601172923,38.10187687977767);(-122.61211978686288,38.10182314929373);(-122.61207504973379,38.10178755398317);(-122.6120296188031,38.10175135321374);(-122.6119406273399,38.10170726569301);(-122.61186044532133,38.1016616285739);(-122.61177606115848,38.101617965098136);(-122.61168505675283,38.10157260092066);(-122.61159878976191,38.10153458626279);(-122.61150836833613,38.10148953269373);(-122.6114173642564,38.101444168309705);(-122.61136992061603,38.10140669081599);(-122.61131522681436,38.10138082721173);(-122.6112668173067,38.101333505463);(-122.61122222440007,38.10129804990421);(-122.61119629803922,38.10125554040549) l(r:p7udt4848-p7udt4849);(-122.61226034908984,38.102313756181616);(-122.61236309356266,38.1022960697891);(-122.61235449674231,38.102232737957074);(-122.61232099032523,38.102162711766645);(-122.61230971903709,38.102089505019144);(-122.61228753598549,38.102018398290355);(-122.61224201397471,38.10193494793587) l(r:p7udt4849-p7udt4866);(-122.61314457112518,38.102216998812885);(-122.613063296199,38.10222597088786);(-122.61295898607732,38.102234730625085);(-122.61286906233272,38.10225239018262);(-122.6127673783236,38.10226993549127);(-122.61266346604901,38.10227876518957);(-122.61257232995727,38.102287462954024);(-122.61247064583074,38.10230500800514);(-122.61236794567216,38.102322799008554);(-122.61226763219055,38.102340174437494);(-122.61216003225609,38.10234024857136) l(r:p7udt4866-p7udt4907);(-122.61370879241672,38.10201880440087);(-122.61362804265849,38.10206401256608);(-122.6134899603269,38.10212709834624);(-122.6134074090483,38.102145733110355);(-122.61332878995259,38.1021721305554);(-122.61326721852748,38.10218166933916) l(r:p7udt4087-p7udt4907);(-122.6143987740199,38.1017257407195);(-122.61429365450812,38.10171627276878);(-122.61418107928868,38.10169794331706);(-122.6140261403931,38.10174201761747);(-122.61398250058797,38.10179900236919);(-122.61393693187351,38.101843636930056);(-122.61390423685216,38.10188660659071);(-122.61384352394678,38.10193494244246);(-122.61377019706443,38.10196701903863) l(r:p7udt6035lv-p7ulv24472) l(r:p7udm14153-p7ulv13757);(-122.66379685046034,38.076722945714124);(-122.66364623598494,38.07724525393667) l(r:p7udm14153-p7udt3506lv);(-122.66379912694923,38.07671411450294) l(r:p7udm14154-p7ulv13943);(-122.66358038596287,38.07668627531863);(-122.66362599218107,38.0766864058547) l(r:p7udm14154-p7udt3506lv);(-122.66358038596287,38.07668627531863) l(r:p7udt3506-p7udt3524);(-122.66313833264711,38.07660406945699);(-122.66325226540616,38.076622421444895);(-122.66335252013586,38.07664957186746);(-122.66346645302049,38.07666792364815);(-122.66358266247656,38.076677444111695);(-122.66368291740548,38.0767045942531) l(r:p7udt3474-p7udt3524);(-122.66292186883749,38.07656739785107);(-122.6630246370794,38.07658575763728) l(r:p7udt3474-p7udt3501);(-122.6609371815355,38.07625508060086);(-122.66103971170017,38.076273401836666);(-122.66115364340706,38.07629175585512);(-122.66126757517169,38.07631010976336);(-122.6613701054977,38.07632843071151);(-122.66148403737485,38.076346784410305);(-122.66159796930974,38.07636513799888);(-122.66170049979708,38.07638345865938);(-122.66181443184446,38.076401812038526);(-122.66192836394961,38.076420165307425);(-122.66203089459827,38.07643848568027);(-122.6621448268159,38.07645683873974);(-122.6622587590913,38.07647519168897);(-122.66236380368814,38.07648472087098);(-122.66247749893003,38.07650303332876);(-122.66259143136257,38.076521385956084);(-122.66269419945537,38.07653974603069);(-122.66280565964603,38.07655787673519) l(r:p7udt3447-p7udt3501)_s0 l(r:p7udt3447-p7udt3501)_s1 l(r:p7udt3447-p7udt7094);(-122.65555721596938,38.07348153653511);(-122.65712626909321,38.074405424604784) l(r:p7udt5212lv-p7ulv20554);(-122.65225343749566,38.06330542052457);(-122.65228720936437,38.06339564805857) l(r:p7udt2469lv-p7ulv9385);(-122.6469820370277,38.064299136184616);(-122.64703955465907,38.064191155796756) l(r:p7udt2469-p7udt2479);(-122.64850529992518,38.06281659558638);(-122.64843647238196,38.062906517371495);(-122.64841311293502,38.063023613411524);(-122.64837822458327,38.06316771324275);(-122.64833215231958,38.06326671579125);(-122.64826328099323,38.063365650097474);(-122.6481488978651,38.063446422612095);(-122.6480346440087,38.06350015711697);(-122.64793178948332,38.06355392565441);(-122.64776036494071,38.06364353968075);(-122.64763453808109,38.06373329015584);(-122.64755426583595,38.06383218985804);(-122.647451799713,38.06380484428947);(-122.64740585555374,38.06387680856351);(-122.6473485117459,38.06394873861756);(-122.64730256739472,38.06402070284852);(-122.64726810907197,38.06407467600908);(-122.64723360742484,38.064137661789104);(-122.647187446445,38.06425468912221);(-122.64717574387342,38.064317743314625);(-122.6469820370277,38.064299136184616) l(r:p7udt2500lv-p7ulv9505);(-122.64382465476251,38.06187419110422);(-122.64369939432314,38.061846773190844) l(r:p7udt2479-p7udt2500);(-122.6487031830848,38.06196097465772);(-122.64857800684695,38.061915536663925);(-122.64844134528389,38.06188808971398);(-122.64830464068545,38.06186965524036);(-122.64815658007991,38.06184217385257);(-122.64807687178745,38.06182390970717);(-122.64799729306378,38.061778607603955);(-122.64792911365357,38.061733339590816);(-122.64788381912355,38.06167011456625);(-122.64783856786664,38.061597876889145);(-122.64783895662467,38.06151676317552);(-122.64788494205666,38.06143578605889);(-122.64789672991759,38.06135470648928);(-122.64786279160093,38.061300528234625);(-122.64780583906058,38.061291344838764);(-122.64766904930501,38.06129093490059);(-122.64750946126036,38.0612904564382);(-122.64744119611625,38.06126321341189);(-122.64740717168273,38.06122706029661);(-122.64738467616431,38.06116390346268);(-122.64723627118306,38.061208522000264);(-122.64712206344173,38.06125324301773);(-122.64697357152063,38.061315886490206);(-122.64690500338776,38.061351731593795);(-122.64679070857677,38.06141447755433);(-122.64668785608129,38.061468245032245);(-122.646562291778,38.06150391860247);(-122.6464254149078,38.061521532482324);(-122.6462885379732,38.06153914620239);(-122.6461745027448,38.06154781575827);(-122.6460490248901,38.06156546351156);(-122.64583248378622,38.06155579849542);(-122.64563882803928,38.06152817654781);(-122.64544517244038,38.06150055428175);(-122.64529711367543,38.06147306929929);(-122.64516041066602,38.06145463117524);(-122.64502366418601,38.061445205524784);(-122.6448640758519,38.06144472346921);(-122.64472719870062,38.061462335370216);(-122.64459019070391,38.06150698500681);(-122.64444169613506,38.061569625264845);(-122.64432208284624,38.06163995645125);(-122.64419780777492,38.06172877315537);(-122.64399074917289,38.06183712953575);(-122.64390619295482,38.06184730927764);(-122.64382465476251,38.06187419110422) l(r:p7udt2479-p7udt5235);(-122.65201622570517,38.0628450675908);(-122.6519257137003,38.062700595382886);(-122.65183520204971,38.06255612310343);(-122.65179011720558,38.06244783638784);(-122.65176791655016,38.06232159192382);(-122.6517456305351,38.06221337272852);(-122.65164299415781,38.0622220813597);(-122.65152891571644,38.06223976874452);(-122.65143772133233,38.06223949835282);(-122.65134648418328,38.062248240527545);(-122.65125528979041,38.06224796999426);(-122.65115256773008,38.062274703470635);(-122.65104988839819,38.06229242421995);(-122.65095852269808,38.06232820400309);(-122.65087859904968,38.06235500493628);(-122.65079858967619,38.06239983108755);(-122.6507071808764,38.06244462330973);(-122.65063852778357,38.062498495860396);(-122.65056987459009,38.06255236836998);(-122.65050117842556,38.062615253474284);(-122.65043252502221,38.06266912590154);(-122.65035242925775,38.06273197701477);(-122.65027233335628,38.062794828072064);(-122.65019232313509,38.062839653803174);(-122.65011226989795,38.062893492114);(-122.65002086006739,38.06293828379364);(-122.64992945012574,38.06298307540131);(-122.64983804007295,38.06302786693702);(-122.64974662990907,38.063072658400735);(-122.64965521963408,38.063117449792486);(-122.64957520868681,38.06316227509751);(-122.64948379819643,38.0632070663542);(-122.64939238759493,38.06325185753893);(-122.64930097688234,38.063296648651686);(-122.64920956605862,38.06334143969245);(-122.6491181551238,38.06338623066125);(-122.64903818660883,38.063422042962486);(-122.64896957444059,38.06346690188591);(-122.64888960576592,38.063502714084784);(-122.64880959393422,38.06354753886138);(-122.648706696812,38.063610320711504);(-122.64862668475199,38.06365514536164);(-122.64854671570639,38.06369095732426);(-122.64846670346141,38.06373578186397);(-122.64838669111904,38.0637806063484);(-122.64831807821943,38.06382546488523);(-122.64822670929337,38.063861242519735);(-122.64813534027873,38.063897020082564);(-122.64806689980166,38.06390582794477);(-122.64798701657406,38.06392361425964);(-122.64789577694252,38.06393235374114);(-122.64779326734616,38.06391402110063);(-122.64771360011659,38.0638867440736);(-122.64762253340191,38.06385943281869);(-122.64754912913654,38.063840235713904);(-122.64762494394724,38.06372842266385);(-122.64775272877179,38.06363684789401);(-122.64792550211419,38.063546407751346);(-122.64802835663356,38.06349263921933);(-122.64814306989062,38.0634386767873);(-122.64825568722156,38.06335892824049);(-122.64832216544687,38.06326237013155);(-122.6483675262066,38.063164601189776);(-122.64840191671429,38.06302191897275);(-122.64842521209741,38.06290511323684);(-122.6484955472175,38.06281192939389);(-122.64882831640364,38.06201542515371) l(r:p7udt5232lv-p7ulv20696);(-122.65396927517092,38.05963327581734);(-122.65403766855144,38.05963347713311) l(r:p7udt5229-p7udt5232);(-122.65312417013834,38.061209303617275);(-122.6532379063453,38.06126371579589);(-122.65338533817597,38.06132703289972);(-122.6534500163724,38.061196156226934);(-122.65349559461384,38.06108028547394);(-122.65348410168436,38.06101191788617);(-122.65351919714342,38.0609269384592);(-122.65353051595707,38.0608298654992);(-122.6535309752479,38.06072252962918);(-122.65353148519708,38.06061437792893);(-122.65356653619962,38.060495288658835);(-122.65363624816113,38.060376577853155);(-122.6537174839304,38.0602852979138);(-122.65376203978299,38.060161942902205);(-122.65376205666178,38.06006527850334);(-122.65377404450308,38.05994745658172);(-122.6538091220486,38.059855533661946);(-122.6538903561155,38.05973692374025);(-122.6539590986683,38.05962921522676) l(r:p7udt5229-p7udt5235);(-122.65200661369869,38.062849912854354);(-122.65191549869905,38.06270459576359);(-122.65182498706373,38.06256012347645);(-122.6517792893438,38.06245065439706);(-122.65175662576698,38.062322833070695);(-122.65173437931765,38.06221482108248);(-122.65184830959329,38.06219565136984);(-122.65195094591068,38.06218694255904);(-122.65206502417153,38.06216925476357);(-122.65214490452279,38.062151465657834);(-122.65223618411822,38.06213371022398);(-122.65235034751542,38.062097996874094);(-122.65246451080237,38.062062283412565);(-122.65265863926295,38.06199075510521);(-122.65281861218276,38.0619101128327);(-122.65297871244829,38.06180243242149);(-122.65313893988133,38.06166771386869);(-122.65326501168465,38.06152388157945);(-122.6533568854925,38.06137994830417);(-122.65335876027623,38.061328195489295);(-122.65322112148849,38.061287265621424);(-122.65310646625504,38.06123243652071);(-122.65300453279967,38.06116260229136) l(r:p7udt5212-p7udt5235);(-122.6522879344545,38.06324243323935);(-122.6522767482677,38.063197336334284);(-122.6522201776341,38.06310704134064);(-122.65211822282471,38.062971548192145) l(r:p7udt2494-p7udt5212);(-122.65168076854103,38.064057330453615);(-122.65164672914709,38.0639917585249);(-122.65165783641282,38.06393306859302);(-122.65176270197847,38.06380380862856);(-122.65186607066025,38.063686692491416);(-122.6519669139884,38.06362903194435);(-122.65207002177026,38.06352118404763);(-122.65216172976797,38.06341330232351);(-122.65225343749566,38.06330542052457) l(r:p7udt2494-p7udt6620);(-122.65120500468726,38.06563661907401);(-122.6513989727701,38.06560114347052);(-122.65146775649468,38.06552023261882);(-122.65145699805105,38.06538500933013);(-122.65143479712016,38.0652587648648);(-122.6514241670548,38.06509650366902);(-122.65140213731767,38.06493420865971);(-122.65141413570052,38.0648080656032);(-122.65142625466021,38.06468064830348);(-122.65143802213264,38.0645909333897);(-122.65146141794438,38.064500004416594);(-122.65151951734165,38.06438154294745);(-122.65157707112789,38.06426454767432);(-122.65163376408834,38.064168810734046) l(r:p7udt6620-p7udt7094)_s0 l(r:p7udt6620-p7udt7094)_s1 l(r:p7udt4886lv-p7ulv19001);(-122.61436268777155,38.106421234284284);(-122.61448782347397,38.106484733730234) l(r:p7udt4870lv-p7ulv18967);(-122.61396890421042,38.107555539694985);(-122.61408353605981,38.107447764026176) l(r:p7udt4870-p7umv7);(-122.61401424482953,38.10760976476264);(-122.6139909579825,38.10769981511584);(-122.61395635961237,38.10777180292863);(-122.6139217611738,38.10784379072997);(-122.61402422848562,38.10788017811661) padswitch(r:p7udt4083-p7udt4119)p7u_68454 padswitch(r:p7udt4083-p7udt4119)p7u_68455 padswitch(r:p7udt4083-p7udt4112)p7u_68456 padswitch(r:p7udt4083-p7udt4112)p7u_68457 padswitch(r:p7udt4085-p7udt4101)p7u_68458 padswitch(r:p7udt4085-p7udt4101)p7u_68459 padswitch(r:p7udt4101-p7udt4895)p7u_68460 padswitch(r:p7udt4101-p7udt4895)p7u_68461 padswitch(r:p7udt4838-p7udt4901)p7u_68462 padswitch(r:p7udt4838-p7udt4901)p7u_68463 padswitch(r:p7udt4853-p7udt7908)p7u_68464 padswitch(r:p7udt4853-p7udt7908)p7u_68465 padswitch(r:p7udt4922-p7udt7908)p7u_68466 padswitch(r:p7udt4922-p7udt7908)p7u_68467 padswitch(r:p7udt4922-p7udt6046)p7u_68468 padswitch(r:p7udt4922-p7udt6046)p7u_68469 padswitch(r:p7udt4871-p7udt6046)p7u_68470 padswitch(r:p7udt4871-p7udt6046)p7u_68471 padswitch(r:p7udt4871-p7udt4876)p7u_68472 padswitch(r:p7udt4871-p7udt4876)p7u_68473 padswitch(r:p7udt4092-p7udt4894)p7u_68474 padswitch(r:p7udt4092-p7udt4894)p7u_68475 padswitch(r:p7udt4092-p7udt4887)p7u_68476 padswitch(r:p7udt4092-p7udt4887)p7u_68477 padswitch(r:p7udt4887-p7udt4929)p7u_68478 padswitch(r:p7udt4887-p7udt4929)p7u_68479 padswitch(r:p7udt4839-p7udt4929)p7u_68480 padswitch(r:p7udt4839-p7udt4929)p7u_68481 padswitch(r:p7udt4839-p7udt4875)p7u_68482 padswitch(r:p7udt4839-p7udt4875)p7u_68483 padswitch(r:p7udt4875-p7udt4876)p7u_68484 padswitch(r:p7udt4875-p7udt4876)p7u_68485 padswitch(r:p7udt4876-p7udt4877)p7u_68486 padswitch(r:p7udt4876-p7udt4877)p7u_68487 padswitch(r:p7udt4877-p7udt4913)p7u_68488 padswitch(r:p7udt4877-p7udt4913)p7u_68489 padswitch(r:p7udt4892-p7udt4913)p7u_68490 padswitch(r:p7udt4892-p7udt4913)p7u_68491 padswitch(r:p7udt4892-p7udt4901)p7u_68492 padswitch(r:p7udt4892-p7udt4901)p7u_68493 padswitch(r:p7udt4901-p7udt7861)p7u_68494 padswitch(r:p7udt4901-p7udt7861)p7u_68495 padswitch(r:p7udt7847-p7udt7858)p7u_68496 padswitch(r:p7udt7847-p7udt7858)p7u_68497 padswitch(r:p7udt7850-p7udt7887)p7u_68498 padswitch(r:p7udt7850-p7udt7887)p7u_68499 padswitch(r:p7udt7850-p7udt7858)p7u_68500 padswitch(r:p7udt7850-p7udt7858)p7u_68501 padswitch(r:p7udt7858-p7udt7862)p7u_68502 padswitch(r:p7udt7858-p7udt7862)p7u_68503 padswitch(r:p7udt7917-p7udt7950)p7u_68504 padswitch(r:p7udt7917-p7udt7950)p7u_68505 padswitch(r:p7udt7917-p7udt7977)p7u_68506 padswitch(r:p7udt7917-p7udt7977)p7u_68507 padswitch(r:p7udt7902-p7udt7977)p7u_68508 padswitch(r:p7udt7902-p7udt7977)p7u_68509 padswitch(r:p7udt7902-p7udt8004)p7u_68510 padswitch(r:p7udt7902-p7udt8004)p7u_68511 padswitch(r:p7udt8001-p7udt8004)p7u_68512 padswitch(r:p7udt8001-p7udt8004)p7u_68513 padswitch(r:p7udt7873-p7udt8001)p7u_68514 padswitch(r:p7udt7873-p7udt8001)p7u_68515 padswitch(r:p7udt7873-p7udt7882)p7u_68516 padswitch(r:p7udt7873-p7udt7882)p7u_68517 padswitch(r:p7udt7931-p7udt7948)p7u_68518 padswitch(r:p7udt7931-p7udt7948)p7u_68519 padswitch(r:p7udt7934-p7udt7960)p7u_68520 padswitch(r:p7udt7934-p7udt7960)p7u_68521 padswitch(r:p7udt7943-p7udt7960)p7u_68522 padswitch(r:p7udt7943-p7udt7960)p7u_68523 padswitch(r:p7udt7931-p7udt7960)p7u_68524 padswitch(r:p7udt7931-p7udt7960)p7u_68525 padswitch(r:p7udt4836-p7udt4869)p7u_68542 padswitch(r:p7udt4836-p7udt4869)p7u_68543 padswitch(r:p7udt4835-p7udt4869)p7u_68544 padswitch(r:p7udt4835-p7udt4869)p7u_68545 padswitch(r:p7udt4842-p7udt4879)p7u_68558 padswitch(r:p7udt4842-p7udt4879)p7u_68559 padswitch(r:p7udt4845-p7udt4857)p7u_68560 padswitch(r:p7udt4845-p7udt4857)p7u_68561 padswitch(r:p7udt4857-p7udt4934)p7u_68562 padswitch(r:p7udt4857-p7udt4934)p7u_68563 padswitch(r:p7udt4895-p7udt4926)p7u_68572 padswitch(r:p7udt4895-p7udt4926)p7u_68573 padswitch(r:p7udt4847-p7udt4910)p7u_68580 padswitch(r:p7udt4847-p7udt4910)p7u_68581 padswitch(r:p7udt4847-p7udt4860)p7u_68582 padswitch(r:p7udt4847-p7udt4860)p7u_68583 padswitch(r:p7udt4098-p7udt4860)p7u_68584 padswitch(r:p7udt4098-p7udt4860)p7u_68585 padswitch(r:p7udt4097-p7udt4903)p7u_68590 padswitch(r:p7udt4097-p7udt4903)p7u_68591 padswitch(r:p7udt4095-p7udt4097)p7u_68592 padswitch(r:p7udt4095-p7udt4097)p7u_68593 padswitch(r:p7udt3858-p7udt3870)p7u_68594 padswitch(r:p7udt3858-p7udt3870)p7u_68595 padswitch(r:p7udt3867-p7udt3884)p7u_68596 padswitch(r:p7udt3867-p7udt3884)p7u_68597 padswitch(r:p7udt2264-p7udt3859)p7u_68598 padswitch(r:p7udt2264-p7udt3859)p7u_68599 padswitch(r:p7udt3859-p7udt3873)p7u_68600 padswitch(r:p7udt3859-p7udt3873)p7u_68601 padswitch(r:p7udt7147-p7udt7176)p7u_68606 padswitch(r:p7udt7147-p7udt7176)p7u_68607 padswitch(r:p7udt3880-p7udt3901)p7u_68622 padswitch(r:p7udt3880-p7udt3901)p7u_68623 padswitch(r:p7udt3882-p7udt3893)p7u_68636 padswitch(r:p7udt3882-p7udt3893)p7u_68637 padswitch(r:p7udt3856-p7udt3893)p7u_68638 padswitch(r:p7udt3856-p7udt3893)p7u_68639 padswitch(r:p7udt3856-p7udt3902)p7u_68640 padswitch(r:p7udt3856-p7udt3902)p7u_68641 padswitch(r:p7udt4840-p7udt4861)p7u_68644 padswitch(r:p7udt4840-p7udt4861)p7u_68645 padswitch(r:p7udt4861-p7udt4885)p7u_68646 padswitch(r:p7udt4861-p7udt4885)p7u_68647 padswitch(r:p7udt4930-p7udt5952)p7u_68648 padswitch(r:p7udt4930-p7udt5952)p7u_68649 padswitch(r:p7udt4930-p7udt5973)p7u_68650 padswitch(r:p7udt4930-p7udt5973)p7u_68651 padswitch(r:p7udt5972-p7udt6013)p7u_68652 padswitch(r:p7udt5972-p7udt6013)p7u_68653 padswitch(r:p7udt5969-p7udt6013)p7u_68654 padswitch(r:p7udt5969-p7udt6013)p7u_68655 padswitch(r:p7udt5967-p7udt5969)p7u_68656 padswitch(r:p7udt5967-p7udt5969)p7u_68657 padswitch(r:p7udt5931-p7udt6049)p7u_68658 padswitch(r:p7udt5931-p7udt6049)p7u_68659 padswitch(r:p7udt5937-p7udt5966)p7u_68660 padswitch(r:p7udt5937-p7udt5966)p7u_68661 padswitch(r:p7udt5936-p7udt5966)p7u_68662 padswitch(r:p7udt5936-p7udt5966)p7u_68663 padswitch(r:p7udt5930-p7udt5940)p7u_68664 padswitch(r:p7udt5930-p7udt5940)p7u_68665 padswitch(r:p7udt5930-p7udt5991)p7u_68666 padswitch(r:p7udt5930-p7udt5991)p7u_68667 padswitch(r:p7udt5936-p7udt5991)p7u_68668 padswitch(r:p7udt5936-p7udt5991)p7u_68669 padswitch(r:p7udt6036-p7udt6051)p7u_68670 padswitch(r:p7udt6036-p7udt6051)p7u_68671 padswitch(r:p7udt5936-p7udt6051)p7u_68672 padswitch(r:p7udt5936-p7udt6051)p7u_68673 padswitch(r:p7udt5936-p7udt5953)p7u_68674 padswitch(r:p7udt5936-p7udt5953)p7u_68675 padswitch(r:p7udt5953-p7udt6049)p7u_68676 padswitch(r:p7udt5953-p7udt6049)p7u_68677 padswitch(r:p7udt5967-p7udt6049)p7u_68678 padswitch(r:p7udt5967-p7udt6049)p7u_68679 padswitch(r:p7udt5948-p7udt5967)p7u_68680 padswitch(r:p7udt5948-p7udt5967)p7u_68681 padswitch(r:p7udt5981-p7udt6031)p7u_68682 padswitch(r:p7udt5981-p7udt6031)p7u_68683 padswitch(r:p7udt5948-p7udt6031)p7u_68684 padswitch(r:p7udt5948-p7udt6031)p7u_68685 padswitch(r:p7udt5948-p7udt5973)p7u_68686 padswitch(r:p7udt5948-p7udt5973)p7u_68687 padswitch(r:p7udt4888-p7udt5973)p7u_68688 padswitch(r:p7udt4888-p7udt5973)p7u_68689 padswitch(r:p7udt4888-p7udt4919)p7u_68690 padswitch(r:p7udt4888-p7udt4919)p7u_68691 padswitch(r:p7udt4088-p7udt4919)p7u_68692 padswitch(r:p7udt4088-p7udt4919)p7u_68693 padswitch(r:p7udt4087-p7udt4088)p7u_68694 padswitch(r:p7udt4087-p7udt4088)p7u_68695 padswitch(r:p7udt4882-p7udt4921)p7u_68696 padswitch(r:p7udt4882-p7udt4921)p7u_68697 padswitch(r:p7udt4918-p7udt4921)p7u_68698 padswitch(r:p7udt4918-p7udt4921)p7u_68699 padswitch(r:p7udt4868-p7udt4921)p7u_68700 padswitch(r:p7udt4868-p7udt4921)p7u_68701 padswitch(r:p7udt4864-p7udt4868)p7u_68702 padswitch(r:p7udt4864-p7udt4868)p7u_68703 padswitch(r:p7udt5945-p7udt5970)p7u_68704 padswitch(r:p7udt5945-p7udt5970)p7u_68705 padswitch(r:p7udt5945-p7udt6001)p7u_68706 padswitch(r:p7udt5945-p7udt6001)p7u_68707 padswitch(r:p7udt5942-p7udt6001)p7u_68708 padswitch(r:p7udt5942-p7udt6001)p7u_68709 padswitch(r:p7udt5990-p7udt6043)p7u_68712 padswitch(r:p7udt5990-p7udt6043)p7u_68713 padswitch(r:p7udt5932-p7udt5975)p7u_68738 padswitch(r:p7udt5932-p7udt5975)p7u_68739 padswitch(r:p7udt4708-p7udt4718)p7u_68740 padswitch(r:p7udt4708-p7udt4718)p7u_68741 padswitch(r:p7udt3456-p7udt4708)p7u_68742 padswitch(r:p7udt3456-p7udt4708)p7u_68743 padswitch(r:p7udt3456-p7udt3525)p7u_68744 padswitch(r:p7udt3456-p7udt3525)p7u_68745 padswitch(r:p7udt3500-p7udt3540)p7u_68746 padswitch(r:p7udt3500-p7udt3540)p7u_68747 padswitch(r:p7udt3438-p7udt3540)p7u_68748 padswitch(r:p7udt3438-p7udt3540)p7u_68749 padswitch(r:p7udt3438-p7udt3567)p7u_68750 padswitch(r:p7udt3438-p7udt3567)p7u_68751 padswitch(r:p7udt3557-p7udt3567)p7u_68752 padswitch(r:p7udt3557-p7udt3567)p7u_68753 padswitch(r:p7udt3506-p7udt3557)p7u_68754 padswitch(r:p7udt3506-p7udt3557)p7u_68755 padswitch(r:p7udt6754-p7udt6778)p7u_68762 padswitch(r:p7udt6754-p7udt6778)p7u_68763 padswitch(r:p7udt1763-p7udt6802)p7u_68764 padswitch(r:p7udt1763-p7udt6802)p7u_68765 padswitch(r:p7udt1763-p7udt6813)p7u_68766 padswitch(r:p7udt1763-p7udt6813)p7u_68767 padswitch(r:p7udt1763-p7udt1774)p7u_68768 padswitch(r:p7udt1763-p7udt1774)p7u_68769 padswitch(r:p7udt1769-p7udt1774)p7u_68770 padswitch(r:p7udt1769-p7udt1774)p7u_68771 padswitch(r:p7udt1769-p7udt6783)p7u_68772 padswitch(r:p7udt1769-p7udt6783)p7u_68773 padswitch(r:p7udt6754-p7udt6783)p7u_68774 padswitch(r:p7udt6754-p7udt6783)p7u_68775 padswitch(r:p7udt6032-p7udt6054)p7u_68778 padswitch(r:p7udt6032-p7udt6054)p7u_68779 padswitch(r:p7udt5947-p7udt6054)p7u_68780 padswitch(r:p7udt5947-p7udt6054)p7u_68781 padswitch(r:p7udt5947-p7udt6035)p7u_68782 padswitch(r:p7udt5947-p7udt6035)p7u_68783 padswitch(r:p7udt2934-p7udt2936)p7u_68794 padswitch(r:p7udt2934-p7udt2936)p7u_68795 padswitch(r:p7udt2936-p7udt8398)p7u_68796 padswitch(r:p7udt2936-p7udt8398)p7u_68797 padswitch(r:p7udt2930-p7udt8398)p7u_68798 padswitch(r:p7udt2930-p7udt8398)p7u_68799 padswitch(r:p7udt2930-p7udt2955)p7u_68800 padswitch(r:p7udt2930-p7udt2955)p7u_68801 padswitch(r:p7udt2955-p7udt2958)p7u_68802 padswitch(r:p7udt2955-p7udt2958)p7u_68803 padswitch(r:p7udt2933-p7udt2958)p7u_68804 padswitch(r:p7udt2933-p7udt2958)p7u_68805 padswitch(r:p7udt2933-p7udt2966)p7u_68806 padswitch(r:p7udt2933-p7udt2966)p7u_68807 padswitch(r:p7udt2277-p7udt2966)p7u_68808 padswitch(r:p7udt2277-p7udt2966)p7u_68809 padswitch(r:p7udt2277-p7udt2951)p7u_68810 padswitch(r:p7udt2277-p7udt2951)p7u_68811 padswitch(r:p7udt2269-p7udt2951)p7u_68812 padswitch(r:p7udt2269-p7udt2951)p7u_68813 padswitch(r:p7udt2269-p7udt2274)p7u_68814 padswitch(r:p7udt2269-p7udt2274)p7u_68815 padswitch(r:p7udt2259-p7udt2274)p7u_68816 padswitch(r:p7udt2259-p7udt2274)p7u_68817 padswitch(r:p7udt2259-p7udt3903)p7u_68818 padswitch(r:p7udt2259-p7udt3903)p7u_68819 padswitch(r:p7udt2260-p7udt2263)p7u_68820 padswitch(r:p7udt2260-p7udt2263)p7u_68821 padswitch(r:p7udt2263-p7udt2265)p7u_68822 padswitch(r:p7udt2263-p7udt2265)p7u_68823 padswitch(r:p7udt2265-p7udt2297)p7u_68824 padswitch(r:p7udt2265-p7udt2297)p7u_68825 padswitch(r:p7udt2285-p7udt2297)p7u_68826 padswitch(r:p7udt2285-p7udt2297)p7u_68827 padswitch(r:p7udt2285-p7udt3871)p7u_68828 padswitch(r:p7udt2285-p7udt3871)p7u_68829 padswitch(r:p7udt2262-p7udt3883)p7u_68830 padswitch(r:p7udt2262-p7udt3883)p7u_68831 padswitch(r:p7udt3868-p7udt3883)p7u_68832 padswitch(r:p7udt3868-p7udt3883)p7u_68833 padswitch(r:p7udt3868-p7udt3896)p7u_68834 padswitch(r:p7udt3868-p7udt3896)p7u_68835 padswitch(r:p7udt3868-p7udt3888)p7u_68836 padswitch(r:p7udt3868-p7udt3888)p7u_68837 padswitch(r:p7udt3871-p7udt3888)p7u_68838 padswitch(r:p7udt3871-p7udt3888)p7u_68839 padswitch(r:p7udt3871-p7udt3891)p7u_68840 padswitch(r:p7udt3871-p7udt3891)p7u_68841 padswitch(r:p7udt3878-p7udt3891)p7u_68842 padswitch(r:p7udt3878-p7udt3891)p7u_68843 padswitch(r:p7udt3878-p7udt3903)p7u_68844 padswitch(r:p7udt3878-p7udt3903)p7u_68845 padswitch(r:p7udt3903-p7udt4905)p7u_68846 padswitch(r:p7udt3903-p7udt4905)p7u_68847 padswitch(r:p7udt4905-p7udt4927)p7u_68848 padswitch(r:p7udt4905-p7udt4927)p7u_68849 padswitch(r:p7udt4086-p7udt4103)p7u_68856 padswitch(r:p7udt4086-p7udt4103)p7u_68857 padswitch(r:p7udt4886-p7udt4904)p7u_68868 padswitch(r:p7udt4886-p7udt4904)p7u_68869 padswitch(r:p7udt3857-p7udt3866)p7u_68876 padswitch(r:p7udt3857-p7udt3866)p7u_68877 padswitch(r:p7udt3866-p7udt3897)p7u_68878 padswitch(r:p7udt3866-p7udt3897)p7u_68879 padswitch(r:p7udt3863-p7udt3876)p7u_68880 padswitch(r:p7udt3863-p7udt3876)p7u_68881 padswitch(r:p7udt3881-p7udt3890)p7u_68882 padswitch(r:p7udt3881-p7udt3890)p7u_68883 padswitch(r:p7udt3881-p7udt3895)p7u_68884 padswitch(r:p7udt3881-p7udt3895)p7u_68885 padswitch(r:p7udt3895-p7udt3900)p7u_68886 padswitch(r:p7udt3895-p7udt3900)p7u_68887 padswitch(r:p7udt3861-p7udt3900)p7u_68888 padswitch(r:p7udt3861-p7udt3900)p7u_68889 padswitch(r:p7udt3860-p7udt3861)p7u_68890 padswitch(r:p7udt3860-p7udt3861)p7u_68891 padswitch(r:p7udt3860-p7udt3887)p7u_68892 padswitch(r:p7udt3860-p7udt3887)p7u_68893 padswitch(r:p7udt3863-p7udt3887)p7u_68894 padswitch(r:p7udt3863-p7udt3887)p7u_68895 padswitch(r:p7udt3863-p7udt3874)p7u_68896 padswitch(r:p7udt3863-p7udt3874)p7u_68897 padswitch(r:p7udt3854-p7udt3874)p7u_68898 padswitch(r:p7udt3854-p7udt3874)p7u_68899 padswitch(r:p7udt3854-p7udt3894)p7u_68900 padswitch(r:p7udt3854-p7udt3894)p7u_68901 padswitch(r:p7udt3854-p7udt3865)p7u_68902 padswitch(r:p7udt3854-p7udt3865)p7u_68903 padswitch(r:p7udt3865-p7udt3872)p7u_68904 padswitch(r:p7udt3865-p7udt3872)p7u_68905 padswitch(r:p7udt3872-p7udt3898)p7u_68906 padswitch(r:p7udt3872-p7udt3898)p7u_68907 padswitch(r:p7udt3889-p7udt3898)p7u_68908 padswitch(r:p7udt3889-p7udt3898)p7u_68909 padswitch(r:p7udt3875-p7udt3898)p7u_68910 padswitch(r:p7udt3875-p7udt3898)p7u_68911 padswitch(r:p7udt3875-p7udt3897)p7u_68912 padswitch(r:p7udt3875-p7udt3897)p7u_68913 padswitch(r:p7udt3877-p7udt3897)p7u_68914 padswitch(r:p7udt3877-p7udt3897)p7u_68915 padswitch(r:p7udt3877-p7udt4931)p7u_68916 padswitch(r:p7udt3877-p7udt4931)p7u_68917 padswitch(r:p7udt4909-p7udt4931)p7u_68918 padswitch(r:p7udt4909-p7udt4931)p7u_68919 padswitch(r:p7udt4837-p7udt4909)p7u_68920 padswitch(r:p7udt4837-p7udt4909)p7u_68921 padswitch(r:p7udt4837-p7udt4933)p7u_68922 padswitch(r:p7udt4837-p7udt4933)p7u_68923 padswitch(r:p7udt4896-p7udt4933)p7u_68924 padswitch(r:p7udt4896-p7udt4933)p7u_68925 padswitch(r:p7udt4896-p7udt4923)p7u_68926 padswitch(r:p7udt4896-p7udt4923)p7u_68927 padswitch(r:p7udt4859-p7udt4901)p7u_68538 padswitch(r:p7udt4859-p7udt4901)p7u_68539 padswitch(r:p7udt4835-p7udt4859)p7u_68540 padswitch(r:p7udt4835-p7udt4859)p7u_68541 padswitch(r:p7udt4835-p7udt4899)p7u_68546 padswitch(r:p7udt4835-p7udt4899)p7u_68547 padswitch(r:p7udt4899-p7udt4924)p7u_68548 padswitch(r:p7udt4899-p7udt4924)p7u_68549 padswitch(r:p7udt4900-p7udt4924)p7u_68550 padswitch(r:p7udt4900-p7udt4924)p7u_68551 padswitch(r:p7udt4898-p7udt4900)p7u_68552 padswitch(r:p7udt4898-p7udt4900)p7u_68553 padswitch(r:p7udt4854-p7udt4898)p7u_68554 padswitch(r:p7udt4854-p7udt4898)p7u_68555 padswitch(r:p7udt4854-p7udt4914)p7u_68556 padswitch(r:p7udt4854-p7udt4914)p7u_68557 padswitch(r:p7udt4895-p7udt4914)p7u_68570 padswitch(r:p7udt4895-p7udt4914)p7u_68571 padswitch(r:p7udt4895-p7udt4925)p7u_68574 padswitch(r:p7udt4895-p7udt4925)p7u_68575 padswitch(r:p7udt4846-p7udt4925)p7u_68576 padswitch(r:p7udt4846-p7udt4925)p7u_68577 padswitch(r:p7udt4098-p7udt4846)p7u_68578 padswitch(r:p7udt4098-p7udt4846)p7u_68579 padswitch(r:p7udt4090-p7udt4098)p7u_68586 padswitch(r:p7udt4090-p7udt4098)p7u_68587 padswitch(r:p7udt4090-p7udt4112)p7u_68588 padswitch(r:p7udt4090-p7udt4112)p7u_68589 padswitch(r:p7udt3902-p7udt4885)p7u_68642 padswitch(r:p7udt3902-p7udt4885)p7u_68643 padswitch(r:p7udt4087-p7udt4120)p7u_68728 padswitch(r:p7udt4087-p7udt4120)p7u_68729 padswitch(r:p7udt4111-p7udt4120)p7u_68730 padswitch(r:p7udt4111-p7udt4120)p7u_68731 padswitch(r:p7udt4102-p7udt4111)p7u_68732 padswitch(r:p7udt4102-p7udt4111)p7u_68733 padswitch(r:p7udt4102-p7udt4916)p7u_68734 padswitch(r:p7udt4102-p7udt4916)p7u_68735 padswitch(r:p7udt4851-p7udt4916)p7u_68736 padswitch(r:p7udt4851-p7udt4916)p7u_68737 padswitch(r:p7udt3498-p7udt7094)p7u_68776 padswitch(r:p7udt3498-p7udt7094)p7u_68777 padswitch(r:p7udt5975-p7udt6035)p7u_68784 padswitch(r:p7udt5975-p7udt6035)p7u_68785 padswitch(r:p7udt5975-p7udt6017)p7u_68786 padswitch(r:p7udt5975-p7udt6017)p7u_68787 padswitch(r:p7udt4108-p7udt4851)p7u_68788 padswitch(r:p7udt4108-p7udt4851)p7u_68789 padswitch(r:p7udt4089-p7udt4108)p7u_68790 padswitch(r:p7udt4089-p7udt4108)p7u_68791 padswitch(r:p7udt4089-p7udt4927)p7u_68792 padswitch(r:p7udt4089-p7udt4927)p7u_68793 padswitch(r:p7udt4885-p7udt4927)p7u_68850 padswitch(r:p7udt4885-p7udt4927)p7u_68851 padswitch(r:p7udt4084-p7udt4885)p7u_68852 padswitch(r:p7udt4084-p7udt4885)p7u_68853 padswitch(r:p7udt4084-p7udt4103)p7u_68854 padswitch(r:p7udt4084-p7udt4103)p7u_68855 padswitch(r:p7udt4095-p7udt4103)p7u_68858 padswitch(r:p7udt4095-p7udt4103)p7u_68859 padswitch(r:p7udt4095-p7udt4112)p7u_68860 padswitch(r:p7udt4095-p7udt4112)p7u_68861 padswitch(r:p7udt4870-p7udt4884)p7u_68864 padswitch(r:p7udt4870-p7udt4884)p7u_68865 padswitch(r:p7udt4884-p7udt4886)p7u_68866 padswitch(r:p7udt4884-p7udt4886)p7u_68867 padswitch(r:p7udt4104-p7udt4886)p7u_68870 padswitch(r:p7udt4104-p7udt4886)p7u_68871 padswitch(r:p7udt4104-p7udt4112)p7u_68872 padswitch(r:p7udt4104-p7udt4112)p7u_68873 padswitch(r:p7udt4112-p7udt4909)p7u_68874 padswitch(r:p7udt4112-p7udt4909)p7u_68875 padswitch(r:p7udt7931-p7udt7952)p7u_68526 padswitch(r:p7udt7931-p7udt7952)p7u_68527 padswitch(r:p7udt7882-p7udt7952)p7u_68528 padswitch(r:p7udt7882-p7udt7952)p7u_68529 padswitch(r:p7udt7862-p7udt7882)p7u_68530 padswitch(r:p7udt7862-p7udt7882)p7u_68531 padswitch(r:p7udt7862-p7udt7928)p7u_68532 padswitch(r:p7udt7862-p7udt7928)p7u_68533 padswitch(r:p7udt7886-p7udt7928)p7u_68534 padswitch(r:p7udt7886-p7udt7928)p7u_68535 padswitch(r:p7udt4901-p7udt7886)p7u_68536 padswitch(r:p7udt4901-p7udt7886)p7u_68537 padswitch(r:p7udt4891-p7udt4934)p7u_68564 padswitch(r:p7udt4891-p7udt4934)p7u_68565 padswitch(r:p7udt4879-p7udt4891)p7u_68566 padswitch(r:p7udt4879-p7udt4891)p7u_68567 padswitch(r:p7udt4879-p7udt4914)p7u_68568 padswitch(r:p7udt4879-p7udt4914)p7u_68569 padswitch(r:p7udt4099-p7udt7150)p7u_68602 padswitch(r:p7udt4099-p7udt7150)p7u_68603 padswitch(r:p7udt7147-p7udt7150)p7u_68604 padswitch(r:p7udt7147-p7udt7150)p7u_68605 padswitch(r:p7udt7147-p7udt7165)p7u_68608 padswitch(r:p7udt7147-p7udt7165)p7u_68609 padswitch(r:p7udt7165-p7udt7185)p7u_68610 padswitch(r:p7udt7165-p7udt7185)p7u_68611 padswitch(r:p7udt3873-p7udt7185)p7u_68612 padswitch(r:p7udt3873-p7udt7185)p7u_68613 padswitch(r:p7udt3869-p7udt3873)p7u_68614 padswitch(r:p7udt3869-p7udt3873)p7u_68615 padswitch(r:p7udt3869-p7udt3899)p7u_68616 padswitch(r:p7udt3869-p7udt3899)p7u_68617 padswitch(r:p7udt3884-p7udt3899)p7u_68618 padswitch(r:p7udt3884-p7udt3899)p7u_68619 padswitch(r:p7udt3880-p7udt3884)p7u_68620 padswitch(r:p7udt3880-p7udt3884)p7u_68621 padswitch(r:p7udt3879-p7udt3880)p7u_68624 padswitch(r:p7udt3879-p7udt3880)p7u_68625 padswitch(r:p7udt3862-p7udt3879)p7u_68626 padswitch(r:p7udt3862-p7udt3879)p7u_68627 padswitch(r:p7udt3862-p7udt3885)p7u_68628 padswitch(r:p7udt3862-p7udt3885)p7u_68629 padswitch(r:p7udt3858-p7udt3885)p7u_68630 padswitch(r:p7udt3858-p7udt3885)p7u_68631 padswitch(r:p7udt3853-p7udt3858)p7u_68632 padswitch(r:p7udt3853-p7udt3858)p7u_68633 padswitch(r:p7udt3853-p7udt3902)p7u_68634 padswitch(r:p7udt3853-p7udt3902)p7u_68635 padswitch(r:p7udt5942-p7udt5990)p7u_68710 padswitch(r:p7udt5942-p7udt5990)p7u_68711 padswitch(r:p7udt4864-p7udt5990)p7u_68714 padswitch(r:p7udt4864-p7udt5990)p7u_68715 padswitch(r:p7udt4864-p7udt4902)p7u_68716 padswitch(r:p7udt4864-p7udt4902)p7u_68717 padswitch(r:p7udt4848-p7udt4902)p7u_68718 padswitch(r:p7udt4848-p7udt4902)p7u_68719 padswitch(r:p7udt4848-p7udt4849)p7u_68720 padswitch(r:p7udt4848-p7udt4849)p7u_68721 padswitch(r:p7udt4849-p7udt4866)p7u_68722 padswitch(r:p7udt4849-p7udt4866)p7u_68723 padswitch(r:p7udt4866-p7udt4907)p7u_68724 padswitch(r:p7udt4866-p7udt4907)p7u_68725 padswitch(r:p7udt4087-p7udt4907)p7u_68726 padswitch(r:p7udt4087-p7udt4907)p7u_68727 padswitch(r:p7udt3506-p7udt3524)p7u_68756 padswitch(r:p7udt3506-p7udt3524)p7u_68757 padswitch(r:p7udt3474-p7udt3524)p7u_68758 padswitch(r:p7udt3474-p7udt3524)p7u_68759 padswitch(r:p7udt3474-p7udt3501)p7u_68760 padswitch(r:p7udt3474-p7udt3501)p7u_68761 padswitch(r:p7udt4870-p7umv7)p7u_68862 padswitch(r:p7udt4870-p7umv7)p7u_68863 fuse(r:p7udt2457-p7udt2500)p7u_71422 fuse(r:p7udt2472-p7udt2500)p7u_71423 fuse(r:p7udt3152-p7udt3207)p7u_71514 fuse(r:p7udt3447-p7udt7080)p7u_71554 fuse(r:p7udt3506-p7udt3557)p7u_71558 fuse(r:p7udt3858-p7udt3870)p7u_71618 fuse(r:p7udt3859-p7udt3873)p7u_71619 fuse(r:p7udt3880-p7udt3901)p7u_71620 fuse(r:p7udt3867-p7udt3884)p7u_71621 fuse(r:p7udt3856-p7udt3902)p7u_71622 fuse(r:p7udt4035-p7udt4037)p7u_71629 fuse(r:p7udt4036-p7udt4037)p7u_71630 fuse(r:p7udt4087-p7udt4088)p7u_71638 fuse(r:p7udt4095-p7udt4097)p7u_71639 fuse(r:p7udt4098-p7udt4860)p7u_71640 fuse(r:p7udt4086-p7udt4103)p7u_71641 fuse(r:p7udt4083-p7udt4112)p7u_71643 fuse(r:p7udt4835-p7udt4869)p7u_71728 fuse(r:p7udt4864-p7udt4868)p7u_71729 fuse(r:p7udt4842-p7udt4879)p7u_71731 fuse(r:p7udt4861-p7udt4885)p7u_71732 fuse(r:p7udt4886-p7udt4904)p7u_71733 fuse(r:p7udt4101-p7udt4895)p7u_71734 fuse(r:p7udt4895-p7udt4926)p7u_71735 fuse(r:p7udt4838-p7udt4901)p7u_71736 fuse(r:p7udt4892-p7udt4901)p7u_71737 fuse(r:p7udt4901-p7udt7861)p7u_71738 fuse(r:p7udt4909-p7udt4931)p7u_71739 fuse(r:p7udt4905-p7udt4927)p7u_71740 fuse(r:p7udt4857-p7udt4934)p7u_71741 fuse(r:p7udt5221-p7udt5229)p7u_71784 fuse(r:p7udt5229-p7udt5230)p7u_71785 fuse(r:p7udt5232-p7udt6667)p7u_71786 fuse(r:p7udt5942-p7udt6001)p7u_71858 fuse(r:p7udt5932-p7udt5975)p7u_71863 fuse(r:p7udt5990-p7udt6043)p7u_71869 fuse(r:p7udt5947-p7udt6035)p7u_71871 fuse(r:p7udt6620-p7udt8370)p7u_71943 fuse(r:p7udt7147-p7udt7176)p7u_72032 fuse(r:p7udt7175-p7udt7193)p7u_72035 fuse(r:p7udt7858-p7udt7862)p7u_72126 fuse(r:p7udt7854-p7udt7882)p7u_72131 fuse(r:p7udt7873-p7udt7882)p7u_72132 fuse(r:p7udt7931-p7udt7948)p7u_72140 fuse(r:p7udt7931-p7udt7960)p7u_72141 disswitch(r:p7udt3210-p7udt3215)p7u_72254 goab_disswitch(r:p7udt2479-p7udt5235)p7u_72273 disswitch(r:p7udt6798-p7udt6799)p7u_72343 goab_disswitch(r:p7udt3447-p7udt7094)p7u_72345 recloser_disswitch(r:p7udt4037-p7udt6035)p7u_72376 goab_disswitch(r:p7udt3498-p7udt4037)p7u_72423 goab_disswitch(r:p7udt3189-p7udt3211)p7u_72432 disswitch(r:p7udt3155-p7udt3169)p7u_72452 disswitch(r:p7udt3681-p7udt7536)p7u_72462 goab_disswitch(r:p7udt5229-p7udt5235)p7u_72478 disswitch(r:p7udt3473-p7udt3500)p7u_72479 goab_disswitch(r:p7udt2479-p7udt2500)p7u_72515 recloser_disswitch(r:p7udt4099-p7udt7175)p7u_72571 disswitch(r:p7udt5220-p7udt5230)p7u_72584 disswitch(r:p7udt6798-p7udt7636)p7u_72610 disswitch(r:p7udt2261-p7udt3892)p7u_72618 goab_disswitch(r:p7udt6620-p7udt7094)p7u_72619 l(r:p7udt5229-p7udt5230)_disconnect l(r:p7udt5229-p7udt5230)_cont;(-122.6535776337598,38.06049734797185);(-122.65364658019858,38.06038038517138);(-122.65372679799741,38.060290493835);(-122.65377298850763,38.0601644511496);(-122.65377345562526,38.06006531207914);(-122.65378540661571,38.05994818129555);(-122.65382002804378,38.0598581555812);(-122.6539003724036,38.05974122618434);(-122.65396927517092,38.05963327581734);(-122.65404957661498,38.0595253589556);(-122.65410703767233,38.059426387597405);(-122.65415314214205,38.05931837002703);(-122.65414233715572,38.059192159466406);(-122.6541200061326,38.059092953295995);(-122.6541087770096,38.05905686917577);(-122.6540523769832,38.05893052442539);(-122.65397305229683,38.058831150473345);(-122.65392766942949,38.05878595301995);(-122.65389368537605,38.058740789112115);(-122.65384826015925,38.0587046042729);(-122.65380287744657,38.058659406771106);(-122.65379169100483,38.058614309973905);(-122.65383758314384,38.0585513557384);(-122.65383788032814,38.05848826722142);(-122.6538727132424,38.05835317823794);(-122.65389610490239,38.058227068324776);(-122.65398780356276,38.058119185033874);(-122.65411365546872,38.05802041494165);(-122.65426226189751,38.05793072441274);(-122.65442230896561,38.057832054557174);(-122.65457091463804,38.057742363631505);(-122.65473091853873,38.05765270599604);(-122.65489100669835,38.05754502284398);(-122.6550624506436,38.057446385566635);(-122.65519969849848,38.05734764773554);(-122.6553369459848,38.05724890974074);(-122.65548559161589,38.05715020498991);(-122.65558864285528,38.05705136646834);(-122.65569177826744,38.05693450255452);(-122.65573792104031,38.05681747164834);(-122.65576126677705,38.05670037396322);(-122.65578465465117,38.05657426362066);(-122.65601376213674,38.05633158938827);(-122.656207492558,38.05634116891868);(-122.65638978250311,38.0563597274628);(-122.65658347093738,38.056378319025214);(-122.65676580316655,38.05638786433575);(-122.65692529657554,38.056406355483524);(-122.65708483211992,38.05641583376363);(-122.65723296930406,38.056425278590865);(-122.65739250493236,38.056434756453754);(-122.65751784538455,38.05644413447681);(-122.65765458427761,38.05645354556318);(-122.65783687488383,38.05647210186592);(-122.6580305639983,38.05649069104671);(-122.65809891255408,38.05649990263807);(-122.65815594655469,38.056491055737695);(-122.65833765199098,38.05648258879076);(-122.65854235927638,38.05646519562761);(-122.6587585353915,38.05643884021957);(-122.65896317859107,38.056403487345754);(-122.6591345174586,38.056376906390206);(-122.65929336539236,38.056341487559095);(-122.65946407644422,38.05629703408128);(-122.65963526093562,38.05625246599581);(-122.65971865621503,38.05625224493155);(-122.65985362673742,38.056234672279984);(-122.65979611357838,38.05642123237245);(-122.65986410333596,38.056562113737364);(-122.65985336095068,38.05668663048462);(-122.65985289220964,38.05680241269898);(-122.65979395960953,38.056934483639836);(-122.65974764305967,38.05702510919555);(-122.65968049622211,38.05710834803382) l(r:p7udt6798-p7udt7636)_disconnect l(r:p7udt6798-p7udt7636)_cont;(-122.64736820425861,38.05271888741572);(-122.64728893853311,38.052610496276984);(-122.64720971629896,38.05249309243577);(-122.6471529869859,38.05243884550285);(-122.64693716526857,38.052284980267196);(-122.64676667547975,38.052185327528846);(-122.64660758387126,38.05208570880848);(-122.64644849269465,38.05198608987324);(-122.64627800426402,38.05188643642777);(-122.64611891396679,38.051786817047436);(-122.64595982410141,38.05168719745223);(-122.64580077809765,38.051578564993974);(-122.64563029149349,38.05147891061121);(-122.64547124642854,38.05137027770788);(-122.64531215834498,38.05127065723788);(-122.64514171662965,38.05116198949987);(-122.64498262944478,38.05106236858482);(-122.64482358624036,38.050953734806725);(-122.64468729498621,38.0508541823629);(-122.6445510041014,38.0507546297612);(-122.64441471358595,38.05065507700162);(-122.64427855428417,38.05052848613924);(-122.64415379290395,38.05040192962003);(-122.64406318061181,38.05028448914955);(-122.64399540709003,38.05015810499373);(-122.64393903119527,38.05003175531907);(-122.64390558122275,38.04987843671986);(-122.64386069037484,38.0497340962289);(-122.64382719702783,38.04958979025045);(-122.64379374748263,38.04943647161005);(-122.64374885711764,38.04929213106795);(-122.64371540786654,38.04913881239897);(-122.64364781074364,38.04897637742591);(-122.64356881671084,38.048813907855305) l(r:p7udt4099-p7udt7175)_disconnect l(r:p7udt4099-p7udt7175)_cont;(-122.63269814247325,38.10226427011902);(-122.63278845061777,38.102318201154844);(-122.63286859598809,38.10237289498841);(-122.63295211268486,38.1024340750408);(-122.6330430863638,38.102488435371);(-122.63313406017778,38.10254279563098);(-122.63323648472219,38.1025881788642);(-122.63332750384536,38.10263352641755);(-122.63342992863701,38.102678909482705);(-122.63352094799308,38.102724256886674);(-122.63362337303197,38.10276963978376);(-122.63371439262092,38.102814987038386);(-122.63380541232272,38.10286033422271);(-122.6338964321374,38.102905681336765);(-122.63399885766358,38.102951063907604);(-122.63408987771115,38.10299641087228);(-122.63416949225858,38.1030417222572);(-122.63426051252472,38.10308706909011);(-122.63434008235836,38.10314139291732);(-122.63443110285415,38.10318673961849);(-122.6345107178198,38.10323205077285);(-122.63460173853414,38.103277397342225);(-122.63468130882762,38.10333172093893);(-122.63477232977164,38.10337706737658);(-122.63485194515546,38.10342237830038);(-122.63493151579196,38.10347670172804);(-122.6350110865464,38.10353102510193);(-122.63509065741877,38.103585348422015);(-122.63517027322595,38.103630659130665);(-122.63524984432455,38.10368498234317);(-122.63532941554108,38.103739305501904);(-122.63540903166333,38.10378461604918);(-122.63548860310607,38.10383893910033);(-122.63556817466676,38.10389326209768);(-122.63565919685838,38.10393860785028);(-122.63573881340142,38.1039839181747);(-122.63581847478082,38.104020215887616);(-122.63589818096744,38.10404750098896);(-122.63597784249538,38.10408379859418);(-122.63606895459267,38.10411111891483);(-122.63617151723898,38.10412946192427);(-122.63626262946617,38.10415678209518);(-122.63635378643406,38.10417508963766);(-122.63644494344797,38.104193397109576);(-122.63653605585795,38.10422071706909);(-122.63663857413985,38.10424807223003);(-122.63675245368344,38.10428447512083);(-122.6368549275255,38.1043208426518);(-122.6369574460682,38.10434819753535);(-122.63705992010209,38.10438456488812);(-122.63715098840697,38.104420896930655);(-122.63724205680248,38.104457228902824);(-122.6373331252886,38.10449356080465);(-122.63742419386537,38.104529892636116);(-122.63751521800248,38.104575236955775);(-122.63760628677161,38.10461156864658);(-122.63769735563136,38.10464790026702);(-122.63778842458174,38.10468423181711);(-122.63806154304959,38.10481125116274);(-122.63815252347983,38.104865607548966);(-122.63825038314367,38.10491277488737);(-122.63834936246651,38.10480636759445);(-122.63849891643632,38.10468905960699);(-122.63866136722473,38.10461600544569);(-122.63883310217926,38.1045443047014);(-122.63885060775394,38.10441592928939);(-122.6388626013499,38.10428136733899);(-122.63888618812098,38.104136718309164);(-122.63890967740906,38.10400152677615);(-122.63894458716587,38.10384059665173)